Coder Social home page Coder Social logo

vlsi-eda / poc Goto Github PK

View Code? Open in Web Editor NEW
536.0 58.0 93.0 5.07 MB

IP Core Library - Published and maintained by the Chair for VLSI Design, Diagnostics and Architecture, Faculty of Computer Science, Technische Universität Dresden, Germany

Home Page: https://tu-dresden.de/ing/informatik/ti/vlsi

License: Other

PowerShell 5.71% Shell 3.69% Python 0.86% VHDL 89.38% Tcl 0.18% Perl 0.17%
vhdl poc-library python simulation synthesis verification vlsi testbenches hardware-modules fpga

poc's Introduction

The PoC-Library

Build Status by Travis-CI Build status by AppVeyor Documentation Status Python Infrastructure tested by Landscape.io Requirements Status FOSSA Status

Join the chat at https://gitter.im/VLSI-EDA/PoC Subscribe for news at https://gitter.im/VLSI-EDA/News
Latest tag Latest release Apache License 2.0

This library is published and maintained by Chair for VLSI Design, Diagnostics and Architecture - Faculty of Computer Science, Technische Universität Dresden, Germany http://vlsi-eda.inf.tu-dresden.de

Technische Universität Dresden

Table of Content:

  1. Overview
  2. Quick Start Guide
    2.1. Requirements and Dependencies
    2.2. Download
    2.3. Configuring PoC on a Local System
    2.4. Integration
    2.5. Updating
  3. Common Notes
  4. Cite the PoC-Library

1 Overview

PoC - “Pile of Cores” provides implementations for often required hardware functions such as Arithmetic Units, Caches, Clock-Domain-Crossing Circuits, FIFOs, RAM wrappers, and I/O Controllers. The hardware modules are typically provided as VHDL or Verilog source code, so it can be easily re-used in a variety of hardware designs.

All hardware modules use a common set of VHDL packages to share new VHDL types, sub-programs and constants. Additionally, a set of simulation helper packages eases the writing of testbenches. Because PoC hosts a huge amount of IP cores, all cores are grouped into sub-namespaces to build a clear hierachy.

Various simulation and synthesis tool chains are supported to interoperate with PoC. To generalize all supported free and commercial vendor tool chains, PoC is shipped with a Python based infrastructure to offer a command line based frontend.

2 Quick Start Guide

This Quick Start Guide gives a fast and simple introduction into PoC. All topics can be found in the Using PoC section at ReadTheDocs.io with much more details and examples.

2.1 Requirements and Dependencies

The PoC-Library comes with some scripts to ease most of the common tasks, like running testbenches or generating IP cores. PoC uses Python 3 as a platform independent scripting environment. All Python scripts are wrapped in Bash or PowerShell scripts, to hide some platform specifics of Darwin, Linux or Windows. See Requirements for further details.

PoC requires:

  • A supported synthesis tool chain, if you want to synthezise IP cores.
  • A supported simulator tool chain, if you want to simulate IP cores.
  • The Python 3 programming language and runtime, if you want to use PoC's infrastructure.
  • A shell to execute shell scripts:
    • Bash on Linux and OS X
    • PowerShell on Windows

PoC optionally requires:

  • Git command line tools or
  • Git User Interface, if you want to check out the latest 'master' or 'release' branch.

PoC depends on third part libraries:

  • Cocotb
    A coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python.
  • OS-VVM
    Open Source VHDL Verification Methodology.
  • UVVM
    Universal VHDL Verification Methodology.
  • VUnit
    An unit testing framework for VHDL.

All dependencies are available as GitHub repositories and are linked to PoC as Git submodules into the PoCRoot\lib directory. See Third Party Libraries for more details on these libraries.

2.2 Download

The PoC-Library can be downloaded as a zip-file (latest 'release' branch), cloned with git clone or embedded with git submodule add from GitHub. GitHub offers HTTPS and SSH as transfer protocols. See the Download page for further details. The installation directory is referred to as PoCRoot.

Protocol Git Clone Command
HTTPS git clone --recursive https://github.com/VLSI-EDA/PoC.git PoC
SSH git clone --recursive ssh://[email protected]:VLSI-EDA/PoC.git PoC

2.3 Configuring PoC on a Local System

To explore PoC's full potential, it's required to configure some paths and synthesis or simulation tool chains. The following commands start a guided configuration process. Please follow the instructions on screen. It's possible to relaunch the process at any time, for example to register new tools or to update tool versions. See Configuration for more details. Run the following command line instructions to configure PoC on your local system:

cd PoCRoot
.\poc.ps1 configure

Use the keyboard buttons: Y to accept, N to decline, P to skip/pass a step and Return to accept a default value displayed in brackets.

2.4 Integration

The PoC-Library is meant to be integrated into other HDL projects. Therefore it's recommended to create a library folder and add the PoC-Library as a Git submodule. After the repository linking is done, some short configuration steps are required to setup paths, tool chains and the target platform. The following command line instructions show a short example on how to integrate PoC.

a) Adding the Library as a Git submodule

The following command line instructions will create the folder lib\PoC\ and clone the PoC-Library as a Git submodule into that folder. ProjectRoot is the directory of the hosting Git. A detailed list of steps can be found at Integration.

cd ProjectRoot
mkdir lib | cd
git submodule add https://github.com:VLSI-EDA/PoC.git PoC
cd PoC
git remote rename origin github
cd ..\..
git add .gitmodules lib\PoC
git commit -m "Added new git submodule PoC in 'lib\PoC' (PoC-Library)."

b) Configuring PoC

The PoC-Library should be configured to explore its full potential. See Configuration for more details. The following command lines will start the configuration process:

cd ProjectRoot
.\lib\PoC\poc.ps1 configure

c) Creating PoC's my_config.vhdl and my_project.vhdl Files

The PoC-Library needs two VHDL files for its configuration. These files are used to determine the most suitable implementation depending on the provided target information. Copy the following two template files into your project's source folder. Rename these files to *.vhdl and configure the VHDL constants in the files:

cd ProjectRoot
cp lib\PoC\src\common\my_config.vhdl.template src\common\my_config.vhdl
cp lib\PoC\src\common\my_project.vhdl.template src\common\my_project.vhdl

my_config.vhdl defines two global constants, which need to be adjusted:

constant MY_BOARD            : string := "CHANGE THIS"; -- e.g. Custom, ML505, KC705, Atlys
constant MY_DEVICE           : string := "CHANGE THIS"; -- e.g. None, XC5VLX50T-1FF1136, EP2SGX90FF1508C3

my_project.vhdl also defines two global constants, which need to be adjusted:

constant MY_PROJECT_DIR      : string := "CHANGE THIS"; -- e.g. d:/vhdl/myproject/, /home/me/projects/myproject/"
constant MY_OPERATING_SYSTEM : string := "CHANGE THIS"; -- e.g. WINDOWS, LINUX

Further informations are provided at Creating my_config/my_project.vhdl.

d) Adding PoC's Common Packages to a Synthesis or Simulation Project

PoC is shipped with a set of common packages, which are used by most of its modules. These packages are stored in the PoCRoot\src\common directory. PoC also provides a VHDL context in common.vhdl , which can be used to reference all packages at once.

e) Adding PoC's Simulation Packages to a Simulation Project

Simulation projects additionally require PoC's simulation helper packages, which are located in the PoCRoot\src\sim directory. Because some VHDL version are incompatible among each other, PoC uses version suffixes like *.v93.vhdl or *.v08.vhdl in the file name to denote the supported VHDL version of a file.

f) Compiling Shipped IP Cores

Some IP Cores are shipped as pre-configured vendor IP Cores. If such IP cores shall be used in a HDL project, it's recommended to use PoC to create, compile and if needed patch these IP cores. See Synthesis for more details.

2.5 Updating

The PoC-Library can be updated by using git fetch and git merge.

cd PoCRoot
# update the local repository
git fetch --prune
# review the commit tree and messages, using the 'treea' alias
git treea
# if all changes are OK, do a fast-forward merge
git merge

See also:

3. Common Notes

The PoC-Library is structured into several sub-folders naming the purpose of the folder like src for sources files or tb for testbench files. The structure within these folders is always the same and based on PoC's sub-namespace tree.

Main directory overview:

  • lib - Embedded or linked external libraries.
  • netlist - Configuration files and output directory for pre-configured netlist synthesis results from vendor IP cores or from complex PoC controllers.
  • py - Supporting Python scripts.
  • sim - Pre-configured waveform views for selected testbenches.
  • src - PoC's source files grouped into sub-folders according to the sub-namespace tree.
  • tb - Testbench files.
  • tcl - Tcl files.
  • temp - Automatically created temporary directors for various tools used by PoC's Python scripts.
  • tools - Settings/highlighting files and helpers for supported tools.
  • ucf - Pre-configured constraint files (*.ucf, *.xdc, *.sdc) for supported FPGA boards.
  • xst - Configuration files to synthesize PoC modules with Xilinx XST into a netlist.

All VHDL source files should be compiled into the VHDL library PoC. If not indicated otherwise, all source files can be compiled using the VHDL-93 or VHDL-2008 language version. Incompatible files are named *.v93.vhdl and *.v08.vhdl to denote the highest supported language version.

4 Cite the PoC-Library

If you are using the PoC-Library, please let us know. We are grateful for your project's reference. The PoC-Library hosted at GitHub.com. Please use the following biblatex entry to cite us:

# BibLaTex example entry
@online{poc,
  title={{PoC - Pile of Cores}},
  author={{Chair of VLSI Design, Diagnostics and Architecture}},
  organization={{Technische Universität Dresden}},
  year={2016},
  url={https://github.com/VLSI-EDA/PoC},
  urldate={2016-10-28},
}

License

FOSSA Status

poc's People

Contributors

albertschulz avatar cjchin avatar fossabot avatar franzforstmayr avatar gitter-badger avatar krabo0om avatar martoni avatar mzabeltud avatar olofk avatar paebbels avatar preusser avatar vossy454 avatar

Stargazers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

Watchers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

poc's Issues

What is the meaning of namespace and sub namespaces?

In the IP core components documentation, there is usage of namespace and sub-namespaces.

What is the meaning of namespace and sub-namespaces, its usage and how do I conceptualize it in the overall infrastructure of the code?

I think all components simply belong to POC library.

Why not to associate namespace name with the usage of libraries, and Sub-Namespace as appended to the Namespace name for the Library?

For example; Namespace is POC so make library POC,
and SubNamespace POC.Common, so make the library POCCommon.

Then at least the dependencies are more finely stated.

And then maybe one can also use context to wrap all sub-namespaces into the namespace.

Travis-CI integration

Travis-CI is a continues integration platform with GitHub integration.

Subtasks:

  • Reach out to Travis-CI in Berlin and ask for VHDL/Verilog (GHDL, Icarus Verilog) integration.

"misc_strobegenerator" is missing

The unit "misc_strobegenerator.vhdl" is needed by io_7SegmentMux_BCD.vhdl module, but it is missing on github. Is it available elsewhere? Could someone check it in?

PyInfra: Auto completion for Bash

Implement Bash auto completion rules for poc.sh.

Maybe it's possible to derive the completion rules from the internal ArgumentParser instance.


Related issues: #34

PyInfra: Provide a PowerShell drive

PowerShell has the ability to provide user-defined drives. PoC should provide a drive called poc. This allows fast access (and tab completion) to IP cores, testbenches and constraint files. Normal CmdLets like dir and cd could be used to browse PoC's IP core database.

Possible output:

dir poc:\io\ddrio

PoC Entity             Source file         VHDL name
----------------------------------------------------
Package ddrio          ddrio.pkg.vhdl      ddrio
PoC.io.ddrio.in        ddrio_in.vhdl       ddrio_in
PoC.io.ddrio.inout     ddrio_inout.vhdl    ddrio_inout
PoC.io.ddrio.out       ddrio_out.vhdl      ddrio_out

Doc: Document installed Sphinx extensions, usable syntax, modifications

The Sphinx documentation flow was extended by several sphinx and third party extensions. These extensions should be documented along with their modifications. A comprehensive syntax guide should be assembled, to collect all syntax notations at one place instead of dozens of third party documentation websites with partially poor usage descriptions.

Extensions list:

  • Standard Sphinx extensions
    • sphinx.ext.autodoc
    • sphinx.ext.extlinks
    • sphinx.ext.intersphinx
    • sphinx.ext.inheritance_diagram
    • sphinx.ext.todo
    • sphinx.ext.graphviz
    • sphinx.ext.mathjax
    • sphinx.ext.ifconfig
    • sphinx.ext.viewcode
  • SphinxContrib extensions
    • sphinxcontrib.wavedrom
    • autoapi.sphinx
  • local extensions (patched)
    • autoprogram (derived from sphinxcontrib.autoprogram)
  • local extensions
    • DocumentMember
    • PoC

Syntax:

  • from docutils
  • from Sphinx
  • from Sphinx extensions
  • from own extensions

Document vendor tool specific project settings.

As pull request #24 shows, PoC has a list of required or recommended project settings for each supported vendor tool chain. These should be documented on RTFD.

  • Xilinx ISE:

    • --use_new_parser yes
    • Add IP core search directories: -sd <pathes>
  • Xilinx Vivado:

    • Enable assert statements via Tcl or GUI.

Fail when running testbench

On operating system GNU/Linux x86-64

my_config.vhdl is configured with the following lines:

constant MY_BOARD   : string := "Custom";
constant MY_DEVICE  : string := "xc7vx690t-2ffg1761";

my_project.vhdl is configured with the following lines:

constant MY_PROJECT_DIR       : string := "/home/adrien/Sandbox/poc-library/myproj/";
constant MY_OPERATING_SYSTEM  : string := "LINUX";

PoC-Library was configured with the command ./poc.sh --configure
Tools installed: Vivado 2015.1, GHDL and GTKWave

I tried to run the testbenches with the command: ./testbench.sh --ghdl PoC.arith.addw -v -l -d
An error occured, the terminal messages are the following:

[adrien] > ./testbench.sh --ghdl PoC.arith.addw -v -l -d
================================================================================
                    The PoC Library - Testbench Service Tool                    
================================================================================

DEBUG: Reading PoC configuration from '/home/adrien/Sandbox/poc-library/PoC/py/config.private.ini' and '/home/adrien/Sandbox/poc-library/PoC/py/config.public.ini'
DEBUG: Reading testbench configuration from '/home/adrien/Sandbox/poc-library/PoC/tb/configuration.ini'
PoC.arith.addw
  preparing simulation environment...
  Commands to be run:
  1. Change working directory to temporary directory
  2. Parse filelist file.
    a) For every file: Add the VHDL file to GHDL's compile cache.
  3. Compile simulation
  4. Run simulation
  ----------------------------------------
  cd "/home/adrien/Sandbox/poc-library/PoC/temp/ghdl"
DEBUG: Reading filelist '/home/adrien/Sandbox/poc-library/PoC/tb/arith/arith_addw_tb.files'
  running analysis for every vhdl file...

DEBUG: call ghdl: ['/usr/bin/ghdl', '-a', '-P.', '--syn-binding', '--std=93', '--work=poc', '/home/adrien/Sandbox/poc-library/PoC/tb/common/my_config_ML505.vhdl']
    command: /usr/bin/ghdl -a -P. --syn-binding --std=93 --work=poc /home/adrien/Sandbox/poc-library/PoC/tb/common/my_config_ML505.vhdl
ghdl messages for : /home/adrien/Sandbox/poc-library/PoC/tb/common/my_config_ML505.vhdl
--------------------------------------------------------------------------------
/home/adrien/Sandbox/poc-library/PoC/tb/common/my_config_ML505.vhdl:47:14:warning: package "my_config" does not require a body

DEBUG: call ghdl: ['/usr/bin/ghdl', '-a', '-P.', '--syn-binding', '--std=93', '--work=poc', '/home/adrien/Sandbox/poc-library/PoC/tb/common/my_project.vhdl']
    command: /usr/bin/ghdl -a -P. --syn-binding --std=93 --work=poc /home/adrien/Sandbox/poc-library/PoC/tb/common/my_project.vhdl
ghdl messages for : /home/adrien/Sandbox/poc-library/PoC/tb/common/my_project.vhdl
--------------------------------------------------------------------------------
/home/adrien/Sandbox/poc-library/PoC/tb/common/my_project.vhdl:50:14:warning: package "my_project" does not require a body

ERROR: This testbench requires some Xilinx Primitves. Please configure Xilinx ISE / Vivado

Please run 'poc.[sh/cmd] --configure' in PoC root directory.

Rework README.md in PoCRoot

The new main documentation is hosted on ReadTheDocs. The README.md in PoC's root directory can now be shortened to a quick guide and point to the matching sections in the main documentation at RTFD.

Work Items:

  • rework README.md
  • search the repository for other READMEs which should point to RTFD

PyInfra: Add tool support for Aldec Riviera-PRO

Add simulator support for Aldec Riviera-PRO.

Work Items:

  • Simulator layer
    • Derive RivieraPROSimulator module from ModelSimSimulator module
  • ToolChain Abstraction Layer
    • Derive RivieraPRO from ModelSimSimulator
      • Implement VHDLLibraryTool abstraction (vlib)
      • Implement VHDLCompiler abstraction (vcom)
      • Implement VHDLSimulator abstraction (vsim)
      • Implement output filters for
        • VHDLLibraryTool - vlib
        • VHDLCompiler - vcom
        • VHDLSimulator - vsim
  • Implement a new Riviera-PRO command in PoC.py
    • add a rpro command line command and handler
  • Add Riviera-PRO pre-compile support in pre-compile scripts for:
    • Altera
    • Intel
    • Lattice
    • Xilinx ISE
    • Xilinx Vivado

Doc: Document the change log from v0.0.0 until now

The documentation already contains a section for a continuous change log.

All added, edited or removed items (packages, IP cores, features, ...) should reconstructed from Git log and added as a comprehensive items.

PyInfra: A PoC reconfiguration should create a save/backup file of 'config.private.ini'.

Currently, poc.ps1 configure will overwrite an existing config.private.ini file.

Proposed new behavior:

  • create a backup file (including date/time stamp?)
    • config.private.ini.bak -> overwrite existing backup files
    • config.private.2016-11-27.ini
    • config.private.2016-11-27#14-38-19.ini
  • log the backup file's name in the program output if in verbose or debug mode

Downloading: The zip files provided by GitHub doesn't contain submodule files.

The zip files provided by GitHub doesn't contain submodule files.

Working Items:

  • Create a Travis-CI based build flow, to package zip files for every tagged release, which also contain submodule files of the correct submodule commit state.
  • Link these bundled zip files in the download documentation (RTFD, README) instead of GitHubs automatic zip files.

PyInfra: Don't rmdir the working directory in /temp

Currently, the complete working directory of a tool is deleted before a new run. This behavior creates issues on Linux (invalid inode) and Windows (access denied, directory is used).

Solution 1 - purge the working directory by:

  • deleting all sub-directories
  • deleting all files

Multiple Examples in the Documentation are the same

Hello Guys ...

I don't know if this is a real issue. But while i'm reading the documentation i noticed that there where multiple examples the same.

More precisely the file 'PoC/docs/UsingPoC/Synthesis.rst' contains multiple occurrences of '.\poc.ps1 quartus PoC.arith.prng --board=DE4' from the quartus example.

Thank you for your time and best regards

Implement wrapper for DDR3 memory controller on Xilinx KC705 board

PoC currently provides only an adapter which maps between the PoC.Mem interface and the native interface of the Xilinx MIG IP core. This adapter must be instantiated together with the netlist generated by the Xilinx IP core generator. PoC already supports netlist generation using Xilinx ISE (IP core PoC.xil.mig.KC705_MT8JTF12864HZ_1G6). An XCI file for Xilinx Vivado can be found in PoC-Examples.

Requested features:

  1. The temperature monitor should be excluded by default, when the MIG IP core is generated using Xilinx ISE. The device temperature should be provided by another PoC IP core, see #30.

  2. Provide a XCI file for Xilinx Vivado. Netlist generation should allow a configurable user clock frequency, because the clock for the native interface is provided by the MIG IP core itself. The temperature monitor should be excluded, as above.

  3. Implement a wrapper instantiating the adapter and the netlist:

    • Suggested name: "ddr3_ctrl_KC705" or "ddr3_wrapper_KC705".

    • User side interface: PoC.Mem interface and device temperature.

    • DDR3 side interface: as required by the MIG IP core. Note: the top-level DDR3 port names must match that of the MIG IP core because the MIG generates constraints also for the top-level ports (IO standard, slew rate, ...). The constraints could not be adapted because Vivado embeds them in the generated design checkpoint.

    • Configuration parameter: DIMM : string selecting the proper IP core configuration (DIMM timing) from PoC.xil.mig. Alternatively, the timing should be configurable during netlist generation.

    • For Vivado, the netlist must be instantiated using a component declaration.

Related: update memory test and cache test examples in PoC-Examples.

Landscape.io integration

Landscape.io is a Python code quality checker.

Subtasks:

  • Investigate how it works and if it fits the needs of PoC.
  • Does it iteract well with GitHub?
  • How good are the reports?

configuration crashes at Intel Modelsim setup

Hi,
when configuring PoC for Intel Modelsim Starter Edition, I encountered the following problem:

Configuring installed tools
---------------------------
Configuring PoC
  Installation directory: /home/johannes/tries/foss-applications/PoC (found in environment variable)
Configuring Git
  Is Git installed on your system? [Y/n/p]: Y
  Git installation directory [/usr/bin]: /usr/bin
  Install Git mechanisms for PoC developers? [y/N/p]: N
  Git is now configured.
Configuring Aldec
  Are Aldec products installed on your system? [Y/n/p]: n
  Aldec is now configured.
Configuring Altera
  Are Altera products installed on your system? [Y/n/p]: n
Configuring Intel
  Are Intel products installed on your system? [Y/n/p]: Y
  Intel installation directory [/opt/Intel]: /home/johannes/local_programs/intelFPGA_lite
Configuring Intel Quartus
  Is Intel Quartus Prime installed on your system? [Y/n/p]: y
  16.1 version [16.1]: 17.0
  Intel Quartus installation directory [/home/johannes/local_programs/intelFPGA_lite/17.0/quartus]: /home/johannes/local_programs/intelFPGA_lite/17.0/quartus
  Checking Altera Quartus version... (this may take a few seconds)
  Intel Quartus Prime is now configured.
Configuring Intel ModelSim
  Is ModelSim Intel Edition installed on your system? [Y/n/p]: y
FATAL: An unknown or unhandled exception reached the topmost exception handler!
  Exception type:      TypeError
  Exception message:   _ConfigureEdition() takes 1 positional argument but 3 were given
  Caused by:         _ConfigureEdition in file '/home/johannes/tries/foss-applications/PoC/py/ToolChains/Intel/ModelSim.py' at line 113
--------------------------------------------------------------------------------
  File "/home/johannes/tries/foss-applications/PoC/py/PoC.py", line 1247, in main
    poc.Run()
  File "/home/johannes/tries/foss-applications/PoC/py/PoC.py", line 368, in Run
    ArgParseMixin.Run(self)
  File "/home/johannes/tries/foss-applications/PoC/py/lib/pyAttribute/ArgParseAttributes.py", line 181, in Run
    args.func(self, args)
  File "/home/johannes/tries/foss-applications/PoC/py/PoC.py", line 443, in HandleConfiguration
    configurator.ConfigureAll()
  File "/home/johannes/tries/foss-applications/PoC/py/ToolChains/__init__.py", line 775, in ConfigureAll
    self._ConfigureTools(self._configurators)
  File "/home/johannes/tries/foss-applications/PoC/py/ToolChains/__init__.py", line 846, in _ConfigureTools
    self._ConfigurationLoop(configurator)
  File "/home/johannes/tries/foss-applications/PoC/py/ToolChains/__init__.py", line 899, in _ConfigurationLoop
    elif (self._host.Platform == "Linux"):    configurator.ConfigureForLinux()
  File "/home/johannes/tries/foss-applications/PoC/py/ToolChains/__init__.py", line 280, in ConfigureForLinux
    self.ConfigureForAll()
  File "/home/johannes/tries/foss-applications/PoC/py/ToolChains/Intel/ModelSim.py", line 92, in ConfigureForAll
    changed,edition = self._ConfigureEdition()
  File "/home/johannes/tries/foss-applications/PoC/py/ToolChains/Intel/ModelSim.py", line 113, in _ConfigureEdition
    edition =         super()._ConfigureEdition(IntelModelSimEditions, defaultEdition)
--------------------------------------------------------------------------------
Please report this bug at GitHub: https://github.com/VLSI-EDA/PoC/issues
--------------------------------------------------------------------------------

I looked for the cause and found the following workaround (same as it's done in the respective files for Altera):

diff --git a/py/ToolChains/Intel/ModelSim.py b/py/ToolChains/Intel/ModelSim.py
index b1476f2..bae782a 100644
--- a/py/ToolChains/Intel/ModelSim.py
+++ b/py/ToolChains/Intel/ModelSim.py
@@ -34,7 +34,7 @@ from subprocess                   import check_output
 
 from lib.Functions                import Init
 from ToolChains                   import ConfigurationException, EditionDescription, Edition
-from ToolChains.Mentor.ModelSim   import ModelSimException as Mentor_ModelSimException, ModelSimPEConfiguration as Mentor_ModelSim_Configuration
+from ToolChains.Mentor.ModelSim   import ModelSimException as Mentor_ModelSimException, Configuration as Mentor_ModelSim_Configuration
 from ToolChains.Intel             import IntelException
 
 
@@ -89,14 +89,14 @@ class Configuration(Mentor_ModelSim_Configuration):
 				self.ClearSection()
 			else:
 				# Configure ModelSim version
-				edition = self._ConfigureEdition()
+				changed,edition = self._ConfigureEdition()
 
 
 				configSection = self._host.PoCConfig[self._section]
 				if (edition is IntelModelSimEditions.ModelSimIntelEdition):
 					configSection['InstallationDirectory'] = self._host.PoCConfig.get(self._section, 'InstallationDirectory', raw=True).replace("_ase", "_ae")
 				elif (edition is IntelModelSimEditions.ModelSimIntelStarterEdition):
-					configSection['InstallationDirectory'] = self._host.PoCConfig.get(self._section, 'InstallationDirectory', raw=True).replace("_ase", "_ase")
+					configSection['InstallationDirectory'] = self._host.PoCConfig.get(self._section, 'InstallationDirectory', raw=True).replace("_ae", "_ase")
 
 				self._ConfigureInstallationDirectory()
 				binPath = self._ConfigureBinaryDirectory()

This furthermore fixes the default installation path ("ase" instead of "ae" for starter edition of modelsim). If you prefer a pull request over the patch, just let me know.

Error during configuration

Hello,

I tried to setup PoC as described in the Quickstart Guide in the readme. After experiencing the bug described in #62 and applying the suggested fix (renaming PoC.py in the submodule) the following error occured during configuration:

FATAL: An unknown or unhandled exception reached the topmost exception handler!
  Exception type:      KeyError
  Exception message:   'INSTALL.Intel.Quartus'
  Caused in:           __getitem__ in file '/usr/lib64/python3.6/configparser.py' at line 959
--------------------------------------------------------------------------------
  File "/home/bcmdev/dev/PoC/lib/pyIPCMI/FrontEnd.py", line 86, in main
    pyIPCMI.Run()
  File "/home/bcmdev/dev/PoC/lib/pyIPCMI/pyIPCMI/__init__.py", line 406, in Run
    ArgParseMixin.Run(self)
  File "/home/bcmdev/dev/PoC/lib/pyIPCMI/lib/pyAttribute/ArgParseAttributes.py", line 187, in Run
    args.func(self, args)
  File "/home/bcmdev/dev/PoC/lib/pyIPCMI/pyIPCMI/__init__.py", line 503, in HandleConfiguration
    configurator.ConfigureAll()
  File "/home/bcmdev/dev/PoC/lib/pyIPCMI/pyIPCMI/ToolChain/__init__.py", line 798, in ConfigureAll
    self._ConfigureTools(self._configurators)
  File "/home/bcmdev/dev/PoC/lib/pyIPCMI/pyIPCMI/ToolChain/__init__.py", line 898, in _ConfigureTools
    self._ConfigureDefaultTools()
  File "/home/bcmdev/dev/PoC/lib/pyIPCMI/pyIPCMI/ToolChain/__init__.py", line 944, in _ConfigureDefaultTools
    selector.Select()
  File "/home/bcmdev/dev/PoC/lib/pyIPCMI/pyIPCMI/ToolChain/Altera/Quartus.py", line 149, in Select
    editions = self._GetConfiguredEditions(QuartusEditions)
  File "/home/bcmdev/dev/PoC/lib/pyIPCMI/pyIPCMI/ToolChain/__init__.py", line 666, in _GetConfiguredEditions
    if (len(self._host.Config[edition.Section]) > 0):
  File "/usr/lib64/python3.6/configparser.py", line 959, in __getitem__
    raise KeyError(key)
--------------------------------------------------------------------------------
Please report this bug at GitHub: https://github.com/VLSI-EDA/pyIPCMI/issues

Steps to reproduce:

  1. Download PoC via git clone --recursive
  2. Rename mv lib/pyIPCMI/pyIPCMI/ToolChain/PoC.py lib/pyIPCMI/pyIPCMI/ToolChain/pyIPCMI.py
  3. Run configuration script:
./poc.sh configure
================================================================================
                             pyIPCMI - Service Tool
================================================================================
No private configuration found. Generating an empty pyIPCMI configuration...
Explanation of abbreviations:
  Y - yes      P        - pass (jump to next question)
  N - no       Ctrl + C - abort (no changes are saved)
Upper case or value in '[...]' means default value
--------------------------------------------------------------------------------

Configuring installed tools
---------------------------
Configuring PoC
  Installation directory: /home/bcmdev/dev/PoC/lib/pyIPCMI (found in environment variable)
Configuring Git
  Is Git installed on your system? [Y/n/p]: Y
  Git installation directory [/usr/bin]: /usr/bin
  Install Git mechanisms for pyIPCMI developers? [y/N/p]: N
  Git is now configured.
Configuring Aldec
  Are Aldec products installed on your system? [Y/n/p]: n
  Aldec is now configured.
Configuring Altera
  Are Altera products installed on your system? [Y/n/p]: n
Configuring Intel
  Are Intel products installed on your system? [Y/n/p]: Y
  Intel installation directory [/opt/IntelFPGA]: /opt/quartus
Configuring Intel Quartus Prime
  Is Intel Quartus Prime installed on your system? [Y/n/p]: Y
  17.0 version [17.0]: 18.1
  Intel Quartus Prime installation directory [/opt/quartus/18.1/quartus]: /opt/quartus/18.1/quartus
  Checking Altera Quartus version... (this may take a few seconds)
  Intel Quartus Prime is now configured.
Configuring Intel Quartus Prime Lite
  Is Intel Quartus Prime installed on your system? [Y/n/p]: n
Configuring Intel ModelSim
  Is ModelSim Intel Edition installed on your system? [Y/n/p]: n
Configuring Intel ModelSim (Starter Edition)
  Is ModelSim Intel Edition installed on your system? [Y/n/p]: n
Configuring Lattice
  Are Lattice products installed on your system? [Y/n/p]: n
Configuring Mentor
  Are Mentor products installed on your system? [Y/n/p]: n
Configuring Xilinx
  Are Xilinx products installed on your system? [Y/n/p]: n
Configuring GHDL
  Is GHDL installed on your system? [Y/n/p]: n

Configure default tools? [Y/n]: Y
Choosing default tools

Any help would be greatly appreciated.

PyInfra: Calculate compile order of VHDL files.

Currently, the compile order of VHDL files relies on the definition order of VHDL source files in the *.files files. The Python-based infrastructure should be able to calculate this order on its own.

Tools that require pre-ordered VHDL file lists or per-file commands executed in the right order:

  • Simulators

    • Aldec Active-HDL
    • GHDL
    • Mentor Graphics ModelSim
    • Mentor Graphics QuestaSim
    • ISE Simulator if used in a per-file mode
    • Vivado Simulator if used in a per-file mode
  • Compiler

Get release branch to pass Travis build again.

All active development branches are effected by a Travis build issue presumably introduced from the sphinx branch. Needs a fix so that it does not mask other errors introduced in the meanwhile.

GHDL VHDL -> Yosys code for BLOCKRAM (used as ROM)

Dear,

do you know how to define the use of 512 word 16bit RAM (preloaded during FPGA power on and acting as ROM)
in vhdl (using GHDL & Yosys) for FPGA e.g. ICE40 ?

Hoping to receive some help, I remain,

Patrick Pelgrims

Rewrite testbenches and simulation packages for VHDL-2008 only.

The simulation packages are not (and will not) be supported by Xilinx ISE, because of a bad shared variable implementation. We will drop the support for VHDL-93 testbenches. We still hope for a correct VHDL-2008 in future Xilinx Vivado Simulator releases.

Work Items:

  1. Remove files:
    • sim_random.v93.vhdl
    • sim_simulation.v93.vhdl
    • sim_unprotected.v93.vhdl
  2. alter and review *.files files.
  3. remove wrappers
    • sim_random.v08.vhdl - remove commented wrappers ("procedural interface")
    • sim_simulation.v08.vhdl
  4. Rename globalSimulationStatus to globalSimStatus or SimStatus
    As all global VHDL-93 variables are removed (prefixed with global_) the name can be chooses shorter.
    • alter sim_simulation.v08.vhdl and sim_waveform.vhdl
    • review all testbenches
  5. Write a PoC.arith.prng testbench for Xilinx ISE Simulator without simulation package helper usage, so the Python infrastructure can still be tested with this testbench.
    • Manual assertion counting
    • Manual report generation [PASSED | FAILED]

Provide access to device temperature of IP core "io_FanControl"

The IP core io_FanControl currently wraps a device-specific system monitor. This monitor in turn instantiates a device specific XADC which monitors the device temperature. But, the device temperature is also required for other IP cores, e.g., the Xilinx DDR3 memory controller on the KC705 board.

To solve this:

  • either an output "device_temp" should be added to the io_FanControl and system monitor IP cores,

  • or a separate temperature monitor IP core should be implemented which provides the necessary inputs for io_FanControl and other IP cores like memory controllers.

Unable to compile sim_waveform.vhdl

I'm not able to compile the file "sim_waveform.vhdl" using Vivado (Version 2015.2).
I get the following errors:

ERROR: [VRFC 10-925] indexed name is not a time [/home/albert/git/dnk7/src/hw/PoC/sim/sim_waveform.vhdl:502]
ERROR: [VRFC 10-925] indexed name is not a time [/home/albert/git/dnk7/src/hw/PoC/sim/sim_waveform.vhdl:531]
ERROR: [VRFC 10-724] found '0' definitions of operator "+", cannot determine exact overloaded matching definition for "+" [/home/albert/git/dnk7/src/hw/PoC/sim/sim_waveform.vhdl:733]
ERROR: [VRFC 10-925] indexed name is not a time [/home/albert/git/dnk7/src/hw/PoC/sim/sim_waveform.vhdl:743]
ERROR: [VRFC 10-724] found '0' definitions of operator "&", cannot determine exact overloaded matching definition for "&" [/home/albert/git/dnk7/src/hw/PoC/sim/sim_waveform.vhdl:745]
ERROR: [VRFC 10-1471] type error near fs ; current type time; expected type real [/home/albert/git/dnk7/src/hw/PoC/sim/sim_waveform.vhdl:748]
ERROR: [VRFC 10-1471] type error near delay ; current type time; expected type real [/home/albert/git/dnk7/src/hw/PoC/sim/sim_waveform.vhdl:889]
ERROR: [VRFC 10-1471] type error near p ; current type time; expected type real [/home/albert/git/dnk7/src/hw/PoC/sim/sim_waveform.vhdl:976]

Any ideas?

PyInfra: Auto completion for PowerShell

Implement PowerShell auto completion rules for poc.ps1.

Maybe it's possible to derive the completion rules from the internal ArgumentParser instance.


Related issues: #33

Is this library being maintained?

At Enclustra, we have been considering open-sourcing our clock-crossing VHDL blocks and constraints files. However, I attended a presentation by @Paebbels at FPGA Conference Europe in Munich and I saw that PoC already provides these things.

I have personally used some of these PoC clock-crossing blocks in the past and found them to work well. Therefore, it would make sense for Enclustra to use the PoC blocks (and contribute to their maintenance), instead of maintaining different versions of basically the same logic.

However, there is just one thing that we are worried about: the lack of activity. There has been no release of PoC since 2017, some GitHub issues have remained open for years, and there has been no visible development for years. So, what is the status of this library?

I think @Paebbels mentioned that there was a lot of internal development that will hopefully be released sometime soon. Is there any plan for when this release might happen? And why can't I see this development in any of the Git branches?

fifo_dc_got.vhdl is missing

In the README.md of the fifo folder a FIFO for dependent clocks is mentioned but the corresponding file fifo_dc_got.vhdl is missing.

Gitter.im integration

Gitter.im is a chat platform for GitHub integration.

Subtasks:

  • Test Gitter, if it fits the needs of PoC.
  • Add the badge on the main README.md.

Doc: Document Python dependencies in the Quick Start Guide

The Quick Start Guide lists Python 3 as a dependency, but it misses a list of Package dependencies, which could be installed from PyPI.

Work Items:

  • Check if the Quick Start Guide links to the full documentation.
  • Check if the full documentation contains all Python dependencies.
  • Add a hint how to fulfill all Python dependencies via pip.
  • List Python dependencies for other flows:
    • Appveyor
    • ReadTheDocs
    • Travis-CI
  • Register PoC at Requires.io to check if Python dependencies are up-to-date.

PyInfra: Incremental Modular Configuration

Enable the prompt use of PoC by an incremental configuration process that asks for configuration info only when a certain flow or tool is to be used for the first time. A modular organization of the configuration data appears to be the natural choice for such an approach. This would additionally enable a targeted reconfiguration, e.g., after the update of a specific vendor tool. An explicitly triggered complete configuration should still be possible.

Measures:

  • Tools maintain a configuration state including the states unconfigured and configured (with the corresponding info).
  • Each invocation of a tool would start with calling its ensureConfiguration method and trigger the tool configuration when the tool is in unconfigured state. The actual invocation will proceed when the tool already was or has been properly configured.
  • The optional invocation of ./poc.sh configure walks the user through a possibly complete configuration. Each configuration step may be skipped by the choice of the user.
  • The invocation ./poc.sh configure <tool> allows a targeted (re-)configuration of the named tool.

PyInfra: Add tool support for Xilinx Vivado IP Catalog

Xilinx Vivado uses a new IP-XACT-based file format (*.xci) to describe IP cores in their IP catalog. PoC needs to support the extraction of source codes and generation of netlists from these files.

Note:
PoC can already handle Xilinx Core Generator files (*.xco) from Xilinx ISE.

Issue synthesizing uart_bclk.vhdl

During the synthesis step the uart_bclk is being removed. I'm using Vivado 2016.2

I get the following relevant outputs:

INFO: [Synth 8-4471] merging register 'blkUART.uart_bclk/bclk_x8_r_reg' into 'blkUART.uart_bclk/bclk_r_reg' [/home/albert/git/dnk7/src/hw/PoC/src/io/uart/uart_bclk.vhdl:104]
INFO: [Synth 8-3333] propagating constant 0 across sequential element (\blkUART.uart_bclk/bclk_r_reg )
WARNING: [Synth 8-3332] Sequential element (blkUART.uart_bclk/bclk_r_reg) is unused and will be removed from module kc705_top.

This is how I instantiate the component:

        uart_bclk : entity PoC.uart_bclk
        generic map (
            CLOCK_FREQ => 50 MHz
        )
        port map (
            clk         => clk_comp,
            rst         => rst,
            bclk        => bclk,
            bclk_x8 => bclk_x8
        );

The following alternative solution works fine:

    bclk_gen_x8: entity PoC.arith_counter_free
      generic map (
        DIVIDER => 50000000/(8*115200)
      )
      port map (
        clk => clk_comp,
        rst => '0',
        inc => '1',
        stb => bclk_x8
      );
    bclk_gen_x1: entity PoC.arith_counter_free
      generic map (
        DIVIDER => 8
      )
      port map (
        clk => clk_comp,
        rst => '0',
        inc => bclk_x8,
        stb => bclk
      );

Sphinx Documentation

  1. Write the documentation in reST
  2. Transform existing documentation from *.md files and wiki pages into reST
  3. Add a flow to compile the documentation on ReadTheDocs.org

configuration problem

hi everyone.
i faced with pyIPCMI problem. somebody can help me?
how can i solve this problem?

i referenced POC library document 1.2.0. and exactly followed chap 5.1 to 5.4.1.

image

News is in Latin on readthedocs front page.

I don't think that many will find the News section enlightening:

News
13.05.2016 - PoC 1.0.0 was released.
Lorem ipsum dolor sit amet, consetetur sadipscing elitr, sed diam nonumy eirmod tempor invidunt ut labore et dolore magna aliquyam erat, sed diam voluptua. At vero eos et accusam et justo duo dolores et ea rebum. Stet clita kasd gubergren, no sea takimata sanctus est Lorem ipsum dolor sit amet. Lorem ipsum dolor sit amet, consetetur sadipscing elitr, sed diam nonumy eirmod tempor invidunt ut labore et dolore magna aliquyam erat, sed diam voluptua. At vero eos et accusam et justo duo dolores et ea rebum. Stet clita kasd gubergren, no sea takimata sanctus est Lorem ipsum dolor sit amet

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.