Coder Social home page Coder Social logo

the-openroad-project / openroad-flow-scripts Goto Github PK

View Code? Open in Web Editor NEW
288.0 11.0 265.0 815.99 MB

OpenROAD's scripts implementing an RTL-to-GDS Flow. Documentation at https://openroad-flow-scripts.readthedocs.io/en/latest/

Home Page: https://theopenroadproject.org/

License: Other

Dockerfile 0.01% Shell 0.03% Makefile 0.14% Verilog 85.96% Tcl 2.05% Python 0.17% SourcePawn 9.21% Perl 0.01% Smarty 0.01% Scala 0.02% Ruby 0.01% Coq 2.41%
eda rtl tcl def gdsii verilog openroad timing-analysis lef opendb-database

openroad-flow-scripts's Introduction

OpenROAD Flow

Build Status Docs

OpenROAD-flow-scripts (ORFS) is a fully autonomous, RTL-GDSII flow for rapid architecture and design space exploration, early prediction of QoR and detailed physical design implementation. However, ORFS also enables manual intervention for finer user control of individual flow stages through Tcl commands and Python APIs.

%%{init: { 'logLevel': 'debug', 'theme': 'dark'
  } }%%
timeline
  title RTL-GDSII Using OpenROAD-flow-scripts
  Synthesis
    : Inputs  [RTL, SDC, .lib, .lef]
    : Logic Synthesis  (Yosys)
    : Output files  [Netlist, SDC]
  Floorplan
    : Floorplan Initialization
    : IO placement  (random)
    : Timing-driven mixed-size placement
    : Macro placement
    : Tapcell and welltie insertion
    : PDN generation
  Placement
    : Global placement without placed IOs
    : IO placement  (optimized)
    : Global placement with placed IOs
    : Resizing and buffering
    : Detailed placement
  CTS : Clock Tree Synthesis
    : Timing optimization
    : Filler cell insertion
  Routing
    : Global Routing
    : Detailed Routing
  Finishing
    : Metal Fill insertion
    : Signoff timing report
    : Generate GDSII  (KLayout)
    : DRC/LVS check (KLayout)
Loading

Tool Installation

Docker Based Installation

To ease dependency installation issues, ORFS uses docker images. Docker image includes ORFS binaries, applications as well as all required dependencies. All of the flow tools are encapsulated inside the container image.

If Docker is not installed already, install latest docker tool based on OS from here.

To manage docker as non-root user and verify that you can run docker commands without sudo must complete steps from here.

Build ORFS with Docker

Document for detailed steps on docker based installation found here.

Pre-built Binaries

You can download, set up and run ORFS easily with pre-built binaries, including OpenROAD, Yosys and Klayout. See instructions here.

Thanks to Precision Innovations for providing and supporting OpenROAD based binaries.

Note Only the latest version of OpenROAD is guaranteed to work with the latest version of ORFS.

Disclaimer The versions of OpenROAD, Yosys and Klayout provided by other third-party vendors are not guaranteed to work with ORFS.

Build from sources locally

Document for detailed local build from sources and installation steps found here.

Using the Flow

  • For details about the OpenROAD and the available features and individual flows commands, see the documentation here.
  • For details about automated flow setup, see ORFS docs here.
  • Flow tutorial to run the complete OpenROAD based flow from RTL-GDSII, see the tutorial here.
  • To watch ORFS flow tutorial videos, check here.

Citing this Work

If you use this software in any published work, we would appreciate a citation! Please use the following references:

@article{ajayi2019openroad,
  title={OpenROAD: Toward a Self-Driving, Open-Source Digital Layout Implementation Tool Chain},
  author={Ajayi, T and Blaauw, D and Chan, TB and Cheng, CK and Chhabria, VA and Choo, DK and Coltella, M and Dobre, S and Dreslinski, R and Foga{\c{c}}a, M and others},
  journal={Proc. GOMACTECH},
  pages={1105--1110},
  year={2019}
}

A copy of this paper is available here (PDF).

@inproceedings{ajayi2019toward,
  title={Toward an open-source digital flow: First learnings from the openroad project},
  author={Ajayi, Tutu and Chhabria, Vidya A and Foga{\c{c}}a, Mateus and Hashemi, Soheil and Hosny, Abdelrahman and Kahng, Andrew B and Kim, Minsoo and Lee, Jeongsup and Mallappa, Uday and Neseem, Marina and others},
  booktitle={Proceedings of the 56th Annual Design Automation Conference 2019},
  pages={1--4},
  year={2019}
}

A copy of this paper is available here (PDF).

If you like the tools, please give us a star on our GitHub repos!

License

The OpenROAD-flow-scripts repository (build and run scripts) has a BSD 3-Clause License. The flow relies on several tools, platforms and designs that each have their own licenses:

  • Find the tool license at: OpenROAD-flow-scripts/tools/{tool}/ or OpenROAD-flow-scripts/tools/OpenROAD/src/{tool}/.
  • Find the platform license at: OpenROAD-flow-scripts/flow/platforms/{platform}/.
  • Find the design license at: OpenROAD-flow-scripts/flow/designs/src/{design}/.

openroad-flow-scripts's People

Contributors

ackoucher avatar actions-user avatar antonblanchard avatar colin-holehouse avatar eder-matheus avatar gadfort avatar gudeh avatar habibayassin avatar ibrahimkhairy avatar jjcherry56 avatar louiic avatar luarss avatar maliberty avatar mathursanjiv avatar mgwoo avatar mihir-s-05 avatar msaligane avatar oharboe avatar openroadie avatar osamahammad21 avatar pradi001 avatar precisionmoon avatar ravi-varadarajan avatar rovinski avatar sewkim avatar tajayi avatar tspyrou avatar vijayank88 avatar vvbandeira avatar wransohoff avatar

Stargazers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

Watchers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

openroad-flow-scripts's Issues

Fails to build on Arch: Could not find lemon

When attempting to build using ./build_openroad.sh, it will go through the build until it reaches the build for TritonCTS, where it gives this error.

  By not providing "FindLEMON.cmake" in CMAKE_MODULE_PATH this project has
  asked CMake to find a package configuration file provided by "LEMON", but
  CMake did not find one.

  Could not find a package configuration file provided by "LEMON" with any of
  the following names:

    LEMONConfig.cmake
    lemon-config.cmake

  Add the installation prefix of "LEMON" to CMAKE_PREFIX_PATH or set
  "LEMON_DIR" to a directory containing one of the above files.  If "LEMON"
  provides a separate development package or SDK, be sure it has been
  installed.


-- Configuring incomplete, errors occurred!```
When queried, pacman shows that `lemon 3.34.1` is installed.

Cannot run a sample design coyote_tc in docker

Describe the bug
A clear and concise description of what the bug is. Please include any tags that apply to this issue:
I try to run the sample design sky130hd/coyote_tc in OpenROAD-flow-scripts inside docker. But I get an error at the beginning of floorplan.

  • [Design] for example design issues (i.e. the design does not pass functional validation, etc.)

Expected behavior
The design pass the whole flow without drc lvs error.

Screenshots
If applicable, add screenshots to help explain your problem (e.g. layout screenshots).

[WARNING ODB-0176] error: undefined layer (nwell) referenced
[INFO ODB-0225]     Created 1 library cells
[INFO ODB-0226] Finished LEF file:  ./platforms/sky130io/lef/sky130_ef_io__vssio_hvc_pad.lef
[INFO ODB-0222] Reading LEF file: ./platforms/sky130io/lef/sky130_ef_io__vssio_lvc_pad.lef
[WARNING ODB-0220] WARNING (LEFPARS-2008): NOWIREEXTENSIONATPIN statement is obsolete in version 5.6 or later.
The NOWIREEXTENSIONATPIN statement will be ignored. See file ./platforms/sky130io/lef/sky130_ef_io__vssio_lvc_pad.lef at line 2.

[INFO ODB-0225]     Created 1 library cells
[INFO ODB-0226] Finished LEF file:  ./platforms/sky130io/lef/sky130_ef_io__vssio_lvc_pad.lef
[INFO ODB-0222] Reading LEF file: ./platforms/sky130ram/sky130_sram_1rw1r_80x64_8/sky130_sram_1rw1r_80x64_8.lef
[INFO ODB-0225]     Created 1 library cells
[INFO ODB-0226] Finished LEF file:  ./platforms/sky130ram/sky130_sram_1rw1r_80x64_8/sky130_sram_1rw1r_80x64_8.lef
[INFO ODB-0222] Reading LEF file: ./platforms/sky130ram/sky130_sram_1rw1r_128x256_8/sky130_sram_1rw1r_128x256_8.lef
[INFO ODB-0221] 1000000 lines parsed!
[INFO ODB-0225]     Created 1 library cells
[INFO ODB-0226] Finished LEF file:  ./platforms/sky130ram/sky130_sram_1rw1r_128x256_8/sky130_sram_1rw1r_128x256_8.lef
[INFO ODB-0222] Reading LEF file: ./platforms/sky130ram/sky130_sram_1rw1r_44x64_8/sky130_sram_1rw1r_44x64_8.lef
[INFO ODB-0225]     Created 1 library cells
[INFO ODB-0226] Finished LEF file:  ./platforms/sky130ram/sky130_sram_1rw1r_44x64_8/sky130_sram_1rw1r_44x64_8.lef
[INFO ODB-0222] Reading LEF file: ./platforms/sky130ram/sky130_sram_1rw1r_64x256_8/sky130_sram_1rw1r_64x256_8.lef
[INFO ODB-0221] 1000000 lines parsed!
[INFO ODB-0225]     Created 1 library cells
[INFO ODB-0226] Finished LEF file:  ./platforms/sky130ram/sky130_sram_1rw1r_64x256_8/sky130_sram_1rw1r_64x256_8.lef
[WARNING ORD-1011] LEF master sky130_ef_io__gpiov2_pad_wrapped has no liberty cell.
[WARNING STA-0337] port 'en_i' not found.
[WARNING STA-0337] port 'en_i' not found.
[WARNING STA-0337] port 'en_i' not found.
number instances in verilog is 197690
Loading library IO cell meta-data
Loaded library IO cell data
[INFO IFP-0001] Added 1536 rows of 10391 sites.
[WARNING PDN-0035] No track information found for layer li1
[WARNING PDN-0035] No track information found for layer met1
[WARNING PDN-0035] No track information found for layer met2
[WARNING PDN-0035] No track information found for layer met3
[WARNING PDN-0035] No track information found for layer met4
[WARNING PDN-0035] No track information found for layer met5
Error: floorplan.tcl, 59 key "name" not known in dictionary
0:10.75elapsed 99%CPU 911708memKB
make: *** [results/sky130hd/coyote_tc/base/2_1_floorplan.def] Error 1

Environment (please complete the following information):
Please only report issues for supported OSs.

  • OS: CentOS 7
  • OpenROAD-flow commit 99889aa

File Uploads

Additional context
I run the design in docker. I change the Makefile to build coyote_tc. The command is as follows:

git clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts
cd OpenROAD-flow-scripts
./build_openroad.sh
docker run -it -u $(id -u ${USER}):$(id -g ${USER}) -v $(pwd)/flow/platforms:/OpenROAD-flow-scripts/flow/platforms:ro openroad/flow-scripts
source ./setup_env.sh
cd flow
make

[Build] Issue while building the latest version of OpenROAD-flow-scripts

Build Issue

I encountered the following issue while building the latest version of OpenROAD-flow-scripts with LS Oracle integration.

Scanning dependencies of target lsoracle
[ 96%] Building CXX object core/CMakeFiles/lsoracle.dir/lsoracle.cpp.o
[100%] Linking CXX executable lsoracle
CMakeFiles/lsoracle.dir/lsoracle.cpp.o: In function int alice::show_command<std::shared_ptr<mockturtle::names_view<mockturtle::aig_network> >, std::shared_ptr<oracle::partition_manager<mockturtle::names_view<mockturtle::aig_network> > >, std::shared_ptr<mockturtle::names_view<mockturtle::mig_network> >, std::shared_ptr<oracle::partition_manager<mockturtle::names_view<mockturtle::mig_network> > >, std::shared_ptr<mockturtle::names_view<mockturtle::xag_network> >, std::shared_ptr<mockturtle::names_view<mockturtle::klut_network> > >::show_store<std::shared_ptr<mockturtle::names_view<mockturtle::klut_network> > >()': lsoracle.cpp:(.text._ZN5alice12show_commandIJSt10shared_ptrIN10mockturtle10names_viewINS2_11aig_networkEEEES1_IN6oracle17partition_managerIS5_EEES1_INS3_INS2_11mig_networkEEEES1_INS8_ISC_EEES1_INS3_INS2_11xag_networkEEEES1_INS3_INS2_12klut_networkEEEEEE10show_storeISL_EEiv[_ZN5alice12show_commandIJSt10shared_ptrIN10mockturtle10names_viewINS2_11aig_networkEEEES1_IN6oracle17partition_managerIS5_EEES1_INS3_INS2_11mig_networkEEEES1_INS8_ISC_EEES1_INS3_INS2_11xag_networkEEEES1_INS3_INS2_12klut_networkEEEEEE10show_storeISL_EEiv]+0x2ab): warning: the use of tmpnam' is dangerous, better use mkstemp' ../lib/mockturtle/lib/abcsat/liblibabcsat.a(satSolver.cpp.o): In function pabc::sat_solver_reducedb(pabc::sat_solver_t*)':
satSolver.cpp:(.text+0x3f8c): undefined reference to clock_gettime' satSolver.cpp:(.text+0x46e7): undefined reference to clock_gettime'
../lib/mockturtle/lib/abcsat/liblibabcsat.a(satSolver.cpp.o): In function pabc::sat_solver_search(pabc::sat_solver_t*, long)': satSolver.cpp:(.text+0x68eb): undefined reference to clock_gettime'
../lib/mockturtle/lib/abcsat/liblibabcsat.a(satSolver.cpp.o): In function pabc::sat_solver_solve_internal(pabc::sat_solver_t*)': satSolver.cpp:(.text+0x8841): undefined reference to clock_gettime'
satSolver.cpp:(.text+0x88bb): undefined reference to `clock_gettime'
collect2: error: ld returned 1 exit status
gmake[2]: *** [core/CMakeFiles/lsoracle.dir/build.make:89: core/lsoracle] Error 1
gmake[1]: *** [CMakeFiles/Makefile2:1681: core/CMakeFiles/lsoracle.dir/all] Error 2

Are there any dependencies that need to be built before? Or something I am missing?

Detail Route Issue on BlackParrot design: 'no ap for <pin>'

Describe
BlackParrot processor design not able to pass Detailed Routing.
Error Message:

[ERROR DRT-0073] No ap for multi_top.rof1_0__core.fe.icache_1.metadata_mem.macro_mem.mem/w_mask_in[6].
terminate called after throwing an instance of 'std::runtime_error'
  what():  DRT-0073
Command terminated by signal 6
Elapsed time: 2:34.81[h:]min:sec. Average CPU: 114%. Peak memory: 8527904KB.
make: *** [Makefile:544: results/sky130hd/black_parrot/base/5_route.def] Error 134

Different MACRO_PLACE_HALO and MACRO_PLACE_CHANNEL have been tried (20 to 400 & 200 to 500 respectively), different place densities and areas have been tried, and also the congestion map indicates very low congestion but still running into the same detailed routing error.

Expected behavior
The design would pass detailed routing.

Screenshots
Attaching the congestion map:
blackparrotopenroad_wellspacedbutdetailedrouteerror
The congestion map strangely seems to indicate a very low congestion?

Environment:

  • OS: Ubuntu 20.04
  • OpenROAD-flow-scripts commit: 791e292
  • OpenROAD commit: fed16d7

File Uploads
detail_route_issue

Additional context
All other designs in PR #240 are able to complete the flow. Only BlackParrot faces this detailed routing issue, BlackParrot is also the largest design.
Previously, before the 'set_macro_extension' command was removed from the fastroute config., the design was failing at the Global Routing step with 'routing congestion too high error'. After the fix to that issue, the design now fails at Detailed Routing with 'No ap for multi_top.rof1_0__core.fe.icache_1.metadata_mem.macro_mem.mem/w_mask_in[6]'

@taylor-bsg

[Flow] PDN error building coyote_tc sky130hd example

Describe the bug

When building the coyote_tc example for sky130hd (by uncommenting DESIGN_CONFIG=./designs/sky130hd/coyote_tc/config.mk in the Makefile and running make), I get the following error during PDN generation:

[WARNING PDN-0099] No power/ground pads found on bottom edge
[WARNING PDN-0099] No power/ground pads found on right edge
[WARNING PDN-0099] No power/ground pads found on top edge
[WARNING PDN-0099] No power/ground pads found on left edge
[ERROR PDN-0099] Cannot place core rings without pwr/gnd pads on each side

Expected behavior

I'd expect this example design to build fully. It seems like power and ground pads are part of the design.

Environment (please complete the following information):

  • OS: Ubuntu 18.04
  • OpenROAD-flow commit f85c8a9

Thanks for taking a look!

depenndency installer failing

I am trying to install open road flow locally. Trying to install dependencies for Centos7 with below command:
sudo ./etc/DependencyInstaller.sh -run
Got below error message:
No packages marked for update
Loaded plugins: fastestmirror, langpacks
epel-release-latest-7.noarch.rpm | 15 kB 00:00:00
Examining /var/tmp/yum-root-jUgMLP/epel-release-latest-7.noarch.rpm: epel-release-7-13.noarch
/var/tmp/yum-root-jUgMLP/epel-release-latest-7.noarch.rpm: does not update installed package.
Error: Nothing to do

Looking for solution to fix above error.

uname -a: Linux hp11 3.10.0-1160.36.2.el7.x86_64 #1 SMP Wed Jul 21 11:57:15 UTC 2021 x86_64 x86_64 x86_64 GNU/Linux

OpenROAD ./etch/Build.sh throwing below erros:
[vijayan@ctpl-hp11 OpenROAD]$ sudo ./etc/Build.sh
-- The CXX compiler identification is GNU 4.8.5
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Check for working CXX compiler: /usr/bin/g++ - skipped
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- OpenROAD version: 1
-- OpenROAD git sha: ad04cdd
-- System name: Linux
-- Compiler: GNU 4.8.5
-- Build type: RELEASE
-- Install prefix: /usr/local
-- TCL library: /usr/lib64/libtcl.so
-- TCL header: /usr/include/tcl.h
-- TCL readline library: /usr/lib64/libtclreadline-2.1.0.so
-- TCL readline header: /usr/include
-- Found SWIG: /usr/bin/swig (found suitable version "3.0.12", minimum required is "3.0")
-- Found Boost: /usr/local/lib/cmake/Boost-1.72.0/BoostConfig.cmake (found version "1.72.0")
-- Found Python3: /usr/include/python3.6m (found version "3.6.8") found components: Development Development.Module Development.Embed
-- Found ZLIB: /usr/lib64/libz.so (found version "1.2.7")
-- Looking for C++ include pthread.h
CMake Error in /home/vijayan/OPEN_ROAD_FLOW/Aug18_OpenROAD/OpenROAD/build/CMakeFiles/CMakeTmp/CMakeLists.txt:
Target "cmTC_82058" requires the language dialect "CXX17" , but CMake does
not know the compile flags to use to enable it.

CMake Error at /usr/local/lib64/python3.6/site-packages/cmake/data/share/cmake-3.21/Modules/CheckIncludeFileCXX.cmake:94 (try_compile):
Failed to generate test project build system.
Call Stack (most recent call first):
/usr/local/lib64/python3.6/site-packages/cmake/data/share/cmake-3.21/Modules/FindThreads.cmake:148 (CHECK_INCLUDE_FILE_CXX)
/usr/local/lib64/cmake/spdlog/spdlogConfig.cmake:4 (find_package)
src/CMakeLists.txt:107 (find_package)

-- Configuring incomplete, errors occurred!
See also "/home/vijayan/OPEN_ROAD_FLOW/Aug18_OpenROAD/OpenROAD/build/CMakeFiles/CMakeOutput.log".

Already tried #632 The-OpenROAD-Project/OpenROAD#632 but not able to resolve the issue.
/usr/include/pthread.h already available.

Not able to run new design

Its basic question. I ran new designs by adding into container path ./designs/sky130hd/newdesign last week. After git pull latest update, if I run new design by copying file to docker container its fail with error;
If I create source file manually its working fine. Only if I copied files from local to container, its throwing below error
bash-4.2$ make DESIGN_CONFIG=./designs/nangate45/eth_top/config.mk
[INFO][FLOW] Using platform directory ./platforms/nangate45
make: * platforms/nangate45: Is a directory. Stop.

In-build design aes/riscv32i etc able to run successfully. using #make command

Resizer Segfaults if setRC.tcl is not present

Hi,
Nice to see that the OpenRoad-flow repo has been reopened !
Small bug with resize.tcl script: If the setRC.tcl is removed from the platform directory, the repair_design command dumps a segmentation fault.

Can be reproduced with the nangate45 gcd example, with OpenRoad-flow-scripts version 85bc23d. (Just remove setRC.tcl from platform directory)

bash-4.2$ make place
[...]
Notice 0: Finished DEF file: ./results/nangate45/gcd/3_2_place_iop.def
Warning: wire capacitance is zero. Use the set_wire_rc command to set wire resistance and capacitance.

==========================================================================
report_checks
--------------------------------------------------------------------------
Startpoint: _512_ (rising edge-triggered flip-flop clocked by core_clock)
Endpoint: _503_ (rising edge-triggered flip-flop clocked by core_clock)
Path Group: core_clock
Path Type: max

  Delay    Time   Description
---------------------------------------------------------
   0.00    0.00   clock core_clock (rise edge)
   0.00    0.00   clock network delay (ideal)
   0.00    0.00 ^ _512_/CK (DFF_X1)
   0.08    0.08 ^ _512_/Q (DFF_X1)
   0.04    0.13 ^ _305_/Z (BUF_X1)
   0.07    0.20 ^ _308_/Z (XOR2_X1)
   0.02    0.22 v _311_/ZN (AOI21_X1)
   0.05    0.27 ^ _312_/ZN (OAI21_X1)
   0.03    0.30 v _315_/ZN (AOI21_X1)
   0.05    0.34 ^ _316_/ZN (OAI21_X1)
   0.03    0.37 v _319_/ZN (AOI21_X1)
   0.04    0.42 ^ _320_/ZN (OAI21_X1)
   0.03    0.44 v _322_/ZN (OAI21_X1)
   0.05    0.49 ^ _324_/ZN (OAI21_X1)
   0.03    0.52 v _325_/ZN (OAI21_X1)
   0.06    0.57 ^ _327_/ZN (OAI21_X1)
   0.04    0.62 v _328_/ZN (OAI221_X1)
   0.05    0.67 v _329_/ZN (AND3_X1)
   0.06    0.72 ^ _330_/ZN (OAI22_X1)
   0.03    0.75 v _331_/ZN (AOI21_X1)
   0.05    0.80 ^ _332_/ZN (OAI21_X1)
   0.10    0.90 ^ _338_/ZN (AND4_X1)
   0.08    0.98 ^ _354_/Z (BUF_X1)
   0.02    1.00 v _383_/ZN (AOI22_X1)
   0.04    1.03 ^ _386_/ZN (OAI22_X1)
   0.00    1.03 ^ _503_/D (DFF_X1)
           1.03   data arrival time

   2.00    2.00   clock core_clock (rise edge)
   0.00    2.00   clock network delay (ideal)
   0.00    2.00   clock reconvergence pessimism
           2.00 ^ _503_/CK (DFF_X1)
  -0.04    1.96   library setup time
           1.96   data required time
---------------------------------------------------------
           1.96   data required time
          -1.03   data arrival time
---------------------------------------------------------
           0.93   slack (MET)



==========================================================================
report_tns
--------------------------------------------------------------------------
tns 0.00

==========================================================================
report_wns
--------------------------------------------------------------------------
wns 0.00

==========================================================================
report_design_area
--------------------------------------------------------------------------
Design area 438 u^2 7% utilization.

==========================================================================
instance_count
--------------------------------------------------------------------------
386

==========================================================================
pin_count
--------------------------------------------------------------------------
964

Perform port buffering...
Perform buffer insertion...
0:00.84elapsed 83%CPU 66268memKB
make: *** [results/nangate45/gcd/3_3_place_resized.def] Error 11

Unable to build with docker

Describe the bug
I'm trying to follow the steps mentioned in the OpenROAD documentation for Building from sources using docker option, but the script build_openroad.sh is failling.

Expected behavior
Finish build_openroad.sh without errors.

Environment:

  • OS: ArchLinux
  • OpenROAD-flow commit a24a060

Log from build_openroad.sh

[INFO FLW-0002] Updating git submodules.
Submodule path 'tools/OpenROAD': checked out 'fed16d7ea74d7fd82d08022b60513123ea354622'
Submodule path 'tools/OpenROAD/src/sta': checked out 'e0998d590caaec71fe103391cf8dc422ddccf412'
[INFO FLW-0000] Using docker build method. This will create a docker image tagged 'openroad/flow-scripts'.
[INFO FLW-0020] Building docker image for Yosys.
Using default tag: latest
latest: Pulling from openroad/yosys-dev
Digest: sha256:f1dc3288b3093ebcf7c5d4c48b286d0d2cc76fc8b79f046bdc37a5b53b1fbd4e
Status: Image is up to date for openroad/yosys-dev:latest
docker.io/openroad/yosys-dev:latest
Sending build context to Docker daemon  239.3MB

Step 1/4 : FROM openroad/yosys-dev AS builder
 ---> 2842cd8a1332
Step 2/4 : COPY . /yosys
 ---> 72d1dff8fd6f
Step 3/4 : WORKDIR /yosys
 ---> Running in 7521b3ac9237
Removing intermediate container 7521b3ac9237
 ---> bef54e7472fd
Step 4/4 : RUN make PREFIX=/install CONFIG=gcc ABCREV=bafd2a7 ABCURL=https://github.com/berkeley-abc/abc install -j$(nproc)
 ---> Running in cf6dc3c5e160
[  0%] Building kernel/version_UNKNOWN.cc
[  0%] Building kernel/driver.o
[  1%] Building kernel/register.o
[  1%] Building kernel/rtlil.o
[  1%] Building kernel/log.o
[  2%] Building kernel/calc.o
[  2%] Building kernel/yosys.o
[  3%] Building kernel/cellaigs.o
[  3%] Building kernel/celledges.o
[  3%] Building kernel/satgen.o
[  4%] Building kernel/mem.o
[  5%] Building libs/bigint/BigIntegerUtils.o
[  6%] Building libs/bigint/BigUnsignedInABase.o
[  6%] Building libs/sha1/sha1.o
[  6%] Building libs/json11/json11.o
�[91mkernel/satgen.cc: In member function 'bool Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)':
kernel/satgen.cc:1137:32: warning: 'undef_srst' may be used uninitialized in this function [-Wmaybe-uninitialized]
      std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d);
                             ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/satgen.cc:1123:32: warning: 'undef_en' may be used uninitialized in this function [-Wmaybe-uninitialized]
      std::tie(d, undef_d) = mux(en, undef_en, d, undef_d, old_q, undef_old_q);
                             ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/satgen.cc:1109:32: warning: 'undef_srst' may be used uninitialized in this function [-Wmaybe-uninitialized]
      std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d);
                             ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
�[0m[  7%] Building libs/subcircuit/subcircuit.o
[  7%] Building libs/ezsat/ezsat.o
[  7%] Building libs/ezsat/ezminisat.o
[  8%] Building libs/minisat/Options.o
[  8%] Building libs/minisat/SimpSolver.o
[  9%] Building libs/minisat/Solver.o
[  9%] Building libs/minisat/System.o
[  9%] Building frontends/aiger/aigerparse.o
[ 10%] Building frontends/rpc/rpc_frontend.o
[ 10%] Building frontends/verific/verific.o
[ 10%] Building frontends/json/jsonparse.o
[ 11%] Building frontends/verilog/verilog_parser.tab.o
[ 11%] Building frontends/verilog/verilog_lexer.o
[ 12%] Building frontends/verilog/preproc.o
[ 12%] Building frontends/verilog/verilog_frontend.o
[ 12%] Building frontends/verilog/const2ast.o
[ 13%] Building frontends/ast/ast.o
[ 13%] Building frontends/ast/simplify.o
[ 13%] Building frontends/ast/genrtlil.o
[ 14%] Building frontends/ast/dpicall.o
[ 14%] Building frontends/blif/blifparse.o
[ 15%] Building frontends/liberty/liberty.o
[ 15%] Building frontends/rtlil/rtlil_parser.tab.o
[ 15%] Building frontends/rtlil/rtlil_lexer.o
[ 16%] Building frontends/rtlil/rtlil_frontend.o
[ 16%] Building passes/tests/test_autotb.o
[ 16%] Building passes/tests/test_cell.o
[ 17%] Building passes/tests/test_abcloop.o
[ 17%] Building passes/sat/sat.o
[ 18%] Building passes/sat/freduce.o
[ 18%] Building passes/sat/eval.o
[ 18%] Building passes/sat/sim.o
[ 19%] Building passes/sat/miter.o
[ 19%] Building passes/sat/expose.o
[ 19%] Building passes/sat/assertpmux.o
[ 20%] Building passes/sat/clk2fflogic.o
[ 20%] Building passes/sat/async2sync.o
[ 21%] Building passes/sat/supercover.o
[ 21%] Building passes/sat/fmcombine.o
[ 21%] Building passes/sat/mutate.o
[ 22%] Building passes/sat/cutpoint.o
[ 22%] Building passes/sat/fminit.o
[ 22%] Building passes/sat/qbfsat.o
[ 23%] Building passes/opt/opt.o
[ 23%] Building passes/opt/opt_merge.o
[ 24%] Building passes/opt/opt_mem.o
[ 24%] Building passes/opt/opt_muxtree.o
[ 24%] Building passes/opt/opt_reduce.o
[ 25%] Building passes/opt/opt_dff.o
[ 25%] Building passes/opt/opt_share.o
[ 25%] Building passes/opt/opt_clean.o
[ 26%] Building passes/opt/opt_expr.o
[ 26%] Building passes/opt/share.o
[ 27%] Building passes/opt/wreduce.o
[ 27%] Building passes/opt/opt_demorgan.o
[ 27%] Building passes/opt/rmports.o
[ 28%] Building passes/opt/opt_lut.o
[ 28%] Building passes/opt/opt_lut_ins.o
[ 28%] Building passes/opt/pmux2shiftx.o
[ 29%] Building passes/opt/muxpack.o
[ 29%] Building passes/memory/memory.o
[ 30%] Building passes/memory/memory_dff.o
[ 30%] Building passes/memory/memory_share.o
[ 30%] Building passes/memory/memory_collect.o
[ 31%] Building passes/memory/memory_unpack.o
[ 31%] Building passes/memory/memory_bram.o
[ 31%] Building passes/memory/memory_map.o
[ 32%] Building passes/memory/memory_memx.o
[ 32%] Building passes/memory/memory_nordff.o
[ 33%] Building passes/techmap/flatten.o
[ 33%] Building passes/techmap/techmap.o
[ 33%] Building passes/techmap/simplemap.o
[ 34%] Building passes/techmap/dfflibmap.o
[ 34%] Building passes/techmap/maccmap.o
[ 34%] Building passes/techmap/libparse.o
[ 35%] Building passes/techmap/abc.o
[ 35%] Building passes/techmap/abc9.o
[ 36%] Building passes/techmap/abc9_exe.o
[ 36%] Building passes/techmap/abc9_ops.o
[ 36%] Building passes/techmap/iopadmap.o
[ 37%] Building passes/techmap/clkbufmap.o
[ 37%] Building passes/techmap/hilomap.o
[ 37%] Building passes/techmap/extract.o
[ 38%] Building passes/techmap/extract_fa.o
[ 38%] Building passes/techmap/extract_counter.o
[ 39%] Building passes/techmap/extract_reduce.o
�[91mpasses/techmap/abc.cc: In member function 'virtual void {anonymous}::AbcPass::execute(std::vector<std::basic_string<char> >, Yosys::RTLIL::Design*)':
passes/techmap/abc.cc:1815:15: warning: 'g_argidx' may be used uninitialized in this function [-Wmaybe-uninitialized]
      cmd_error(args, g_argidx, stringf("Unsupported gate type: %s", g.c_str()));
      ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
�[0m[ 39%] Building passes/techmap/alumacc.o
[ 39%] Building passes/techmap/dffinit.o
[ 40%] Building passes/techmap/pmuxtree.o
[ 40%] Building passes/techmap/muxcover.o
[ 40%] Building passes/techmap/aigmap.o
[ 41%] Building passes/techmap/tribuf.o
[ 41%] Building passes/techmap/lut2mux.o
[ 42%] Building passes/techmap/nlutmap.o
[ 42%] Building passes/techmap/shregmap.o
[ 42%] Building passes/techmap/deminout.o
[ 43%] Building passes/techmap/insbuf.o
[ 43%] Building passes/techmap/attrmvcp.o
[ 43%] Building passes/techmap/attrmap.o
[ 44%] Building passes/techmap/zinit.o
[ 44%] Building passes/techmap/dfflegalize.o
[ 45%] Building passes/techmap/dffunmap.o
[ 45%] Building passes/techmap/flowmap.o
[ 45%] Building passes/techmap/extractinv.o
[ 46%] Building passes/pmgen/test_pmgen.o
[ 46%] Building passes/pmgen/ice40_dsp.o
[ 46%] Building passes/pmgen/ice40_wrapcarry.o
[ 47%] Building passes/pmgen/xilinx_dsp.o
[ 47%] Building passes/pmgen/peepopt.o
[ 48%] Building passes/pmgen/xilinx_srl.o
[ 48%] Building passes/cmds/exec.o
[ 48%] Building passes/cmds/add.o
[ 49%] Building passes/cmds/delete.o
[ 49%] Building passes/cmds/design.o
[ 49%] Building passes/cmds/select.o
[ 50%] Building passes/cmds/show.o
[ 50%] Building passes/cmds/rename.o
[ 51%] Building passes/cmds/autoname.o
[ 51%] Building passes/cmds/connect.o
[ 51%] Building passes/cmds/scatter.o
[ 52%] Building passes/cmds/setundef.o
[ 52%] Building passes/cmds/splitnets.o
[ 52%] Building passes/cmds/stat.o
[ 53%] Building passes/cmds/setattr.o
[ 53%] Building passes/cmds/copy.o
[ 54%] Building passes/cmds/splice.o
[ 54%] Building passes/cmds/scc.o
[ 54%] Building passes/cmds/torder.o
[ 55%] Building passes/cmds/logcmd.o
[ 55%] Building passes/cmds/tee.o
[ 55%] Building passes/cmds/write_file.o
[ 56%] Building passes/cmds/connwrappers.o
[ 56%] Building passes/cmds/cover.o
[ 57%] Building passes/cmds/trace.o
[ 57%] Building passes/cmds/plugin.o
[ 57%] Building passes/cmds/check.o
[ 58%] Building passes/cmds/qwp.o
[ 58%] Building passes/cmds/edgetypes.o
[ 58%] Building passes/cmds/portlist.o
[ 59%] Building passes/cmds/chformal.o
[ 59%] Building passes/cmds/chtype.o
[ 60%] Building passes/cmds/blackbox.o
[ 60%] Building passes/cmds/ltp.o
[ 60%] Building passes/cmds/bugpoint.o
[ 61%] Building passes/cmds/scratchpad.o
[ 61%] Building passes/cmds/logger.o
[ 61%] Building passes/cmds/printattrs.o
[ 62%] Building passes/hierarchy/hierarchy.o
[ 62%] Building passes/hierarchy/uniquify.o
[ 63%] Building passes/hierarchy/submod.o
[ 63%] Building passes/equiv/equiv_make.o
[ 63%] Building passes/equiv/equiv_miter.o
[ 64%] Building passes/equiv/equiv_simple.o
[ 64%] Building passes/equiv/equiv_status.o
[ 64%] Building passes/equiv/equiv_add.o
[ 65%] Building passes/equiv/equiv_remove.o
[ 65%] Building passes/equiv/equiv_induct.o
[ 66%] Building passes/equiv/equiv_struct.o
[ 66%] Building passes/equiv/equiv_purge.o
[ 66%] Building passes/equiv/equiv_mark.o
[ 67%] Building passes/equiv/equiv_opt.o
[ 67%] Building passes/fsm/fsm.o
[ 67%] Building passes/fsm/fsm_detect.o
[ 68%] Building passes/fsm/fsm_extract.o
[ 68%] Building passes/fsm/fsm_opt.o
[ 69%] Building passes/fsm/fsm_expand.o
[ 69%] Building passes/fsm/fsm_recode.o
[ 69%] Building passes/fsm/fsm_info.o
[ 70%] Building passes/fsm/fsm_export.o
[ 70%] Building passes/fsm/fsm_map.o
[ 70%] Building passes/proc/proc.o
[ 71%] Building passes/proc/proc_prune.o
[ 71%] Building passes/proc/proc_clean.o
[ 72%] Building passes/proc/proc_rmdead.o
[ 72%] Building passes/proc/proc_init.o
[ 72%] Building passes/proc/proc_arst.o
[ 73%] Building passes/proc/proc_mux.o
[ 73%] Building passes/proc/proc_dlatch.o
[ 73%] Building passes/proc/proc_dff.o
[ 74%] Building backends/firrtl/firrtl.o
[ 74%] Building backends/simplec/simplec.o
[ 75%] Building backends/edif/edif.o
[ 75%] Building backends/cxxrtl/cxxrtl_backend.o
[ 75%] Building backends/aiger/aiger.o
[ 76%] Building backends/aiger/xaiger.o
[ 76%] Building backends/smt2/smt2.o
[ 76%] Building backends/table/table.o
[ 77%] Building backends/btor/btor.o
[ 77%] Building backends/intersynth/intersynth.o
[ 78%] Building backends/json/json.o
[ 78%] Building backends/verilog/verilog_backend.o
[ 78%] Building backends/smv/smv.o
[ 79%] Building backends/blif/blif.o
[ 79%] Building backends/rtlil/rtlil_backend.o
[ 79%] Building backends/spice/spice.o
[ 80%] Building techlibs/easic/synth_easic.o
[ 80%] Building techlibs/xilinx/synth_xilinx.o
[ 81%] Building techlibs/xilinx/xilinx_dffopt.o
[ 81%] Building techlibs/gowin/synth_gowin.o
[ 81%] Building techlibs/ice40/synth_ice40.o
[ 82%] Building techlibs/ice40/ice40_braminit.o
[ 82%] Building techlibs/ice40/ice40_opt.o
[ 82%] Building techlibs/nexus/synth_nexus.o
[ 83%] Building techlibs/intel/synth_intel.o
[ 83%] Building techlibs/ecp5/synth_ecp5.o
[ 84%] Building techlibs/ecp5/ecp5_gsr.o
[ 84%] Building techlibs/coolrunner2/synth_coolrunner2.o
[ 84%] Building techlibs/coolrunner2/coolrunner2_sop.o
[ 85%] Building techlibs/coolrunner2/coolrunner2_fixup.o
[ 85%] Building techlibs/efinix/synth_efinix.o
[ 85%] Building techlibs/efinix/efinix_fixcarry.o
[ 86%] Building techlibs/anlogic/synth_anlogic.o
[ 86%] Building techlibs/anlogic/anlogic_eqn.o
[ 87%] Building techlibs/anlogic/anlogic_fixcarry.o
[ 87%] Building techlibs/greenpak4/synth_greenpak4.o
[ 87%] Building techlibs/greenpak4/greenpak4_dffinv.o
[ 88%] Building techlibs/achronix/synth_achronix.o
[ 88%] Building techlibs/common/synth.o
[ 88%] Building techlibs/common/prep.o
[ 89%] Building techlibs/intel_alm/synth_intel_alm.o
[ 89%] Building techlibs/sf2/synth_sf2.o
[ 99%] Building yosys-config
[ 99%] Building abc/abc-bafd2a7
�[91m+ test -d abc
+ git clone https://github.com/berkeley-abc/abc abc
�[0mPulling ABC from https://github.com/berkeley-abc/abc:
Cloning into 'abc'...
[ 99%] Building passes/techmap/filterlib.o
[ 99%] Building yosys-smtbmc
[ 99%] Building share/include/kernel/yosys.h
[ 99%] Building share/include/kernel/hashlib.h
[ 99%] Building share/include/kernel/log.h
[ 99%] Building share/include/kernel/rtlil.h
[ 99%] Building share/include/kernel/register.h
[ 99%] Building share/include/kernel/celltypes.h
[ 99%] Building share/include/kernel/celledges.h
[ 99%] Building share/include/kernel/consteval.h
[ 99%] Building share/include/kernel/constids.inc
[ 99%] Building share/include/kernel/sigtools.h
[ 99%] Building share/include/kernel/modtools.h
[ 99%] Building share/include/kernel/macc.h
[ 99%] Building share/include/kernel/utils.h
[ 99%] Building share/include/kernel/satgen.h
[ 99%] Building share/include/kernel/ff.h
[ 99%] Building share/include/kernel/ffinit.h
[ 99%] Building share/include/kernel/mem.h
[ 99%] Building share/include/libs/ezsat/ezsat.h
[ 99%] Building share/include/libs/ezsat/ezminisat.h
[ 99%] Building share/include/libs/sha1/sha1.h
[ 99%] Building share/include/libs/json11/json11.hpp
[ 99%] Building share/include/passes/fsm/fsmdata.h
[ 99%] Building share/include/frontends/ast/ast.h
[ 99%] Building share/include/backends/rtlil/rtlil_backend.h
[ 99%] Building share/include/backends/cxxrtl/cxxrtl.h
[ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd.h
[ 99%] Building share/include/backends/cxxrtl/cxxrtl_capi.cc
[ 99%] Building share/include/backends/cxxrtl/cxxrtl_capi.h
[ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc
[ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd_capi.h
[ 99%] Building share/python3/smtio.py
[ 99%] Building share/xilinx/cells_map.v
[ 99%] Building share/xilinx/cells_sim.v
[ 99%] Building share/xilinx/cells_xtra.v
[ 99%] Building share/xilinx/xc2v_brams.txt
[ 99%] Building share/xilinx/xc2v_brams_map.v
[ 99%] Building share/xilinx/xc3sa_brams.txt
[ 99%] Building share/xilinx/xc3sda_brams.txt
[ 99%] Building share/xilinx/xc6s_brams.txt
[ 99%] Building share/xilinx/xc6s_brams_map.v
[ 99%] Building share/xilinx/xc7_xcu_brams.txt
[ 99%] Building share/xilinx/xc7_brams_map.v
[ 99%] Building share/xilinx/xcu_brams_map.v
[ 99%] Building share/xilinx/xcup_urams.txt
[ 99%] Building share/xilinx/xcup_urams_map.v
[ 99%] Building share/xilinx/lut4_lutrams.txt
[ 99%] Building share/xilinx/lut6_lutrams.txt
[ 99%] Building share/xilinx/lutrams_map.v
[ 99%] Building share/xilinx/arith_map.v
[ 99%] Building share/xilinx/ff_map.v
[ 99%] Building share/xilinx/lut_map.v
[ 99%] Building share/xilinx/mux_map.v
[ 99%] Building share/xilinx/xc3s_mult_map.v
[ 99%] Building share/xilinx/xc3sda_dsp_map.v
[ 99%] Building share/xilinx/xc6s_dsp_map.v
[ 99%] Building share/xilinx/xc4v_dsp_map.v
[ 99%] Building share/xilinx/xc5v_dsp_map.v
[ 99%] Building share/xilinx/xc7_dsp_map.v
[ 99%] Building share/xilinx/xcu_dsp_map.v
[ 99%] Building share/xilinx/abc9_model.v
[ 99%] Building techlibs/xilinx/brams_init.mk
[ 99%] Building share/gowin/cells_map.v
[ 99%] Building share/gowin/cells_sim.v
[ 99%] Building share/gowin/arith_map.v
[ 99%] Building share/gowin/brams_map.v
[ 99%] Building share/gowin/brams.txt
[ 99%] Building share/gowin/lutrams_map.v
[ 99%] Building share/gowin/lutrams.txt
[ 99%] Building share/gowin/brams_init3.vh
[ 99%] Building techlibs/gowin/brams_init.mk
[ 99%] Building share/ice40/arith_map.v
[ 99%] Building share/ice40/cells_map.v
[ 99%] Building share/ice40/ff_map.v
[ 99%] Building share/ice40/cells_sim.v
[ 99%] Building share/ice40/latches_map.v
[ 99%] Building share/ice40/brams.txt
[ 99%] Building share/ice40/brams_map.v
[ 99%] Building share/ice40/dsp_map.v
[ 99%] Building share/ice40/abc9_model.v
[ 99%] Building techlibs/ice40/brams_init.mk
[ 99%] Building share/nexus/cells_map.v
[ 99%] Building share/nexus/cells_sim.v
[ 99%] Building share/nexus/parse_init.vh
[ 99%] Building share/nexus/cells_xtra.v
[ 99%] Building share/nexus/lutrams_map.v
[ 99%] Building share/nexus/lutrams.txt
[ 99%] Building share/nexus/brams_init.vh
[ 99%] Building share/nexus/brams_map.v
[ 99%] Building share/nexus/brams.txt
[ 99%] Building share/nexus/arith_map.v
[ 99%] Building share/nexus/latches_map.v
[ 99%] Building share/intel/common/m9k_bb.v
[ 99%] Building share/intel/common/altpll_bb.v
[ 99%] Building share/intel/common/brams_m9k.txt
[ 99%] Building share/intel/common/brams_map_m9k.v
[ 99%] Building share/intel/common/ff_map.v
[ 99%] Building share/intel/max10/cells_sim.v
[ 99%] Building share/intel/cyclone10lp/cells_sim.v
[ 99%] Building share/intel/cycloneiv/cells_sim.v
[ 99%] Building share/intel/cycloneive/cells_sim.v
[ 99%] Building share/intel/max10/cells_map.v
[ 99%] Building share/intel/cyclone10lp/cells_map.v
[ 99%] Building share/intel/cycloneiv/cells_map.v
[ 99%] Building share/intel/cycloneive/cells_map.v
[ 99%] Building share/ecp5/cells_ff.vh
[ 99%] Building share/ecp5/cells_io.vh
[ 99%] Building share/ecp5/cells_map.v
[ 99%] Building share/ecp5/cells_sim.v
[ 99%] Building share/ecp5/cells_bb.v
[ 99%] Building share/ecp5/lutrams_map.v
[ 99%] Building share/ecp5/lutrams.txt
[ 99%] Building share/ecp5/brams_map.v
[ 99%] Building share/ecp5/brams.txt
[ 99%] Building share/ecp5/arith_map.v
[ 99%] Building share/ecp5/latches_map.v
[ 99%] Building share/ecp5/dsp_map.v
[ 99%] Building techlibs/ecp5/brams_init.mk
[ 99%] Building techlibs/ecp5/brams_connect.mk
[ 99%] Building share/coolrunner2/cells_latch.v
[ 99%] Building share/coolrunner2/cells_sim.v
[ 99%] Building share/coolrunner2/cells_counter_map.v
[ 99%] Building share/coolrunner2/tff_extract.v
[ 99%] Building share/coolrunner2/xc2_dff.lib
[ 99%] Building share/efinix/cells_map.v
[ 99%] Building share/efinix/arith_map.v
[ 99%] Building share/efinix/cells_sim.v
[ 99%] Building share/efinix/brams_map.v
[ 99%] Building share/efinix/gbuf_map.v
[ 99%] Building share/efinix/brams.txt
[ 99%] Building share/anlogic/cells_map.v
[ 99%] Building share/anlogic/arith_map.v
[ 99%] Building share/anlogic/cells_sim.v
[ 99%] Building share/anlogic/eagle_bb.v
[ 99%] Building share/anlogic/lutrams.txt
[ 99%] Building share/anlogic/lutrams_map.v
[ 99%] Building share/anlogic/lutram_init_16x4.vh
[ 99%] Building share/greenpak4/cells_blackbox.v
[ 99%] Building share/greenpak4/cells_latch.v
[ 99%] Building share/greenpak4/cells_map.v
[ 99%] Building share/greenpak4/cells_sim.v
[ 99%] Building share/greenpak4/cells_sim_ams.v
[ 99%] Building share/greenpak4/cells_sim_digital.v
[ 99%] Building share/greenpak4/cells_sim_wip.v
[ 99%] Building share/greenpak4/gp_dff.lib
[ 99%] Building share/achronix/speedster22i/cells_sim.v
[ 99%] Building share/achronix/speedster22i/cells_map.v
[ 99%] Building share/simlib.v
[ 99%] Building share/simcells.v
[ 99%] Building share/techmap.v
[ 99%] Building share/pmux2mux.v
[ 99%] Building share/adff2dff.v
[ 99%] Building share/dff2ff.v
[ 99%] Building share/gate2lut.v
[ 99%] Building share/cmp2lut.v
[ 99%] Building share/cells.lib
[ 99%] Building share/mul2dsp.v
[ 99%] Building share/abc9_model.v
[ 99%] Building share/abc9_map.v
[ 99%] Building share/abc9_unmap.v
[ 99%] Building share/cmp2lcu.v
[ 99%] Building share/intel_alm/common/abc9_map.v
[ 99%] Building share/intel_alm/common/abc9_unmap.v
[ 99%] Building share/intel_alm/common/abc9_model.v
[ 99%] Building share/intel_alm/common/alm_map.v
[ 99%] Building share/intel_alm/common/alm_sim.v
[ 99%] Building share/intel_alm/common/arith_alm_map.v
[ 99%] Building share/intel_alm/common/dff_map.v
[ 99%] Building share/intel_alm/common/dff_sim.v
[ 99%] Building share/intel_alm/common/dsp_sim.v
[ 99%] Building share/intel_alm/common/dsp_map.v
[ 99%] Building share/intel_alm/common/mem_sim.v
[ 99%] Building share/intel_alm/cyclonev/cells_sim.v
[ 99%] Building share/intel_alm/common/bram_m10k.txt
[ 99%] Building share/intel_alm/common/bram_m20k.txt
[ 99%] Building share/intel_alm/common/bram_m20k_map.v
[ 99%] Building share/intel_alm/common/lutram_mlab.txt
[ 99%] Building share/intel_alm/common/megafunction_bb.v
[ 99%] Building share/intel_alm/common/quartus_rename.v
[ 99%] Building share/sf2/arith_map.v
[ 99%] Building share/sf2/cells_map.v
[ 99%] Building share/sf2/cells_sim.v
[ 99%] Building kernel/version_UNKNOWN.o
[ 99%] Building yosys-filterlib
[ 99%] Building share/xilinx/brams_init_36.vh
[ 99%] Building share/xilinx/brams_init_32.vh
[ 99%] Building share/xilinx/brams_init_18.vh
[ 99%] Building share/xilinx/brams_init_16.vh
[ 99%] Building share/xilinx/brams_init_9.vh
[ 99%] Building share/xilinx/brams_init_8.vh
[ 99%] Building share/gowin/bram_init_16.vh
[ 99%] Building share/ice40/brams_init1.vh
[ 99%] Building share/ice40/brams_init2.vh
[ 99%] Building share/ice40/brams_init3.vh
[ 99%] Building share/ecp5/bram_init_1_2_4.vh
[ 99%] Building share/ecp5/bram_init_9_18_36.vh
[ 99%] Building share/ecp5/bram_conn_1.vh
[ 99%] Building share/ecp5/bram_conn_2.vh
[ 99%] Building share/ecp5/bram_conn_4.vh
[ 99%] Building share/ecp5/bram_conn_9.vh
[ 99%] Building share/ecp5/bram_conn_18.vh
[ 99%] Building share/ecp5/bram_conn_36.vh
[100%] Building yosys
�[91m/opt/rh/devtoolset-7/root/usr/libexec/gcc/x86_64-redhat-linux/7/ld: libs/bigint/BigIntegerAlgorithms.o: unable to initialize decompress status for section .debug_info
/opt/rh/devtoolset-7/root/usr/libexec/gcc/x86_64-redhat-linux/7/ld: libs/bigint/BigIntegerAlgorithms.o: unable to initialize decompress status for section .debug_info
/opt/rh/devtoolset-7/root/usr/libexec/gcc/x86_64-redhat-linux/7/ld: libs/bigint/BigIntegerAlgorithms.o: unable to initialize decompress status for section .debug_info
/opt/rh/devtoolset-7/root/usr/libexec/gcc/x86_64-redhat-linux/7/ld: libs/bigint/BigIntegerAlgorithms.o: unable to initialize decompress status for section .debug_info
libs/bigint/BigIntegerAlgorithms.o: file not recognized: File format not recognized
�[0m�[91mcollect2: error: ld returned 1 exit status
�[0m�[91mmake: *** [Makefile:678: yosys] Error 1
make: *** Waiting for unfinished jobs....
�[0m�[91m+ cd abc
+ make DEP= clean
�[0mmake[1]: Entering directory '/yosys/abc'
Using CC=gcc
Using CXX=g++
Using AR=ar
Using LD=g++
Compiling with CUDD
Using libreadline
Using pthreads
Found GCC_VERSION 7
Found GCC_MAJOR>=5
Using CFLAGS=-Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DLIN64 -DSIZEOF_VOID_P=8 -DSIZEOF_LONG=8 -DSIZEOF_INT=4 -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable
`` Cleaning up...
removed 'arch_flags'
make[1]: Leaving directory '/yosys/abc'
�[91m+ git fetch https://github.com/berkeley-abc/abc
�[0m�[91mFrom https://github.com/berkeley-abc/abc
 * branch            HEAD       -> FETCH_HEAD
�[0m�[91m+ git checkout bafd2a7
�[0m�[91mNote: checking out 'bafd2a7'.

You are in 'detached HEAD' state. You can look around, make experimental
changes and commit them, and you can discard any commits you make in this
state without impacting any branches by performing another checkout.

If you want to create a new branch to retain commits you create, you may
do so (now or later) by using -b with the checkout command again. Example:

  git checkout -b new_branch_name

HEAD is now at bafd2a7... Disabling command print_mint when CUDD is not used.
�[0m[ 94%] ABC: Using CC=gcc
[ 94%] ABC: Using CXX=gcc
[ 94%] ABC: Using AR=ar
[ 94%] ABC: Using LD=gcc
[ 94%] ABC: Compiling with CUDD
[ 94%] ABC: Using libreadline
[ 94%] ABC: Using pthreads
[ 94%] ABC: Found GCC_VERSION 7
[ 94%] ABC: Found GCC_MAJOR>=5
[ 94%] ABC: Using explicit -lstdc++
[ 94%] ABC: Using CFLAGS=-Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb4Sweep.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb4Nonlin.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb4Image.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb4Cex.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb3Nonlin.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb3Image.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb2Image.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb2Flow.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb2Dump.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb2Core.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb2Driver.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb2Bad.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Sched.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Reach.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Pivot.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Matrix.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Man.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Hint.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Core.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Group.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Constr.c
[ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Cluster.c
[ 94%] ABC: `` Generating dependency: /src/bdd/bbr/bbrReach.c
[ 94%] ABC: `` Generating dependency: /src/bdd/bbr/bbrNtbdd.c
[ 94%] ABC: `` Generating dependency: /src/bdd/bbr/bbrImage.c
[ 94%] ABC: `` Generating dependency: /src/bdd/bbr/bbrCex.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cas/casDec.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cas/casCore.c
[ 94%] ABC: `` Generating dependency: /src/bdd/reo/reoUnits.c
[ 94%] ABC: `` Generating dependency: /src/bdd/reo/reoTransfer.c
[ 94%] ABC: `` Generating dependency: /src/bdd/reo/reoSwap.c
[ 94%] ABC: `` Generating dependency: /src/bdd/reo/reoSift.c
[ 94%] ABC: `` Generating dependency: /src/bdd/reo/reoShuffle.c
[ 94%] ABC: `` Generating dependency: /src/bdd/reo/reoProfile.c
[ 94%] ABC: `` Generating dependency: /src/bdd/reo/reoCore.c
[ 94%] ABC: `` Generating dependency: /src/bdd/reo/reoApi.c
[ 94%] ABC: `` Generating dependency: /src/bdd/mtr/mtrGroup.c
[ 94%] ABC: `` Generating dependency: /src/bdd/mtr/mtrBasic.c
[ 94%] ABC: `` Generating dependency: /src/bdd/epd/epd.c
[ 94%] ABC: `` Generating dependency: /src/bdd/dsd/dsdTree.c
[ 94%] ABC: `` Generating dependency: /src/bdd/dsd/dsdProc.c
[ 94%] ABC: `` Generating dependency: /src/bdd/dsd/dsdMan.c
[ 94%] ABC: `` Generating dependency: /src/bdd/dsd/dsdLocal.c
[ 94%] ABC: `` Generating dependency: /src/bdd/dsd/dsdCheck.c
[ 94%] ABC: `` Generating dependency: /src/bdd/dsd/dsdApi.c
[ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddUnate.c
[ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddTime.c
[ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddThresh.c
[ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddSymm.c
[ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddSet.c
[ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddMisc.c
[ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddMaxMin.c
[ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddKmap.c
[ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddImage.c
[ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddAuto.c
[ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddCas.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddUtil.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSymm.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSetop.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddReord.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddPort.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddMisc.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddLin.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddIsop.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddGroup.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddFuncs.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddCount.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddWindow.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddUtil.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddTable.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddSymmetry.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetSP.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetHB.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddSolve.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddSplit.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddSign.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddSat.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddReorder.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddRef.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddRead.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddPriority.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddMatMult.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddLiteral.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddLinear.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddLevelQ.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddLCache.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddInteract.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddInit.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddHarwell.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddGroup.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddGenetic.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddGenCof.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddExport.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddExact.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddEssent.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddDecomp.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddCompose.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddCof.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddClip.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddCheck.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddCache.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddBridge.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddBddIte.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddBddCorr.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddBddAbs.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddApprox.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddApa.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAndAbs.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAddWalsh.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAnneal.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAddNeg.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAddInv.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAddFind.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAddIte.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAddApply.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAddAbs.c
[ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAPI.c
[ 94%] ABC: `` Generating dependency: /src/aig/hop/hopUtil.c
[ 94%] ABC: `` Generating dependency: /src/aig/hop/hopTruth.c
[ 94%] ABC: `` Generating dependency: /src/aig/hop/hopTable.c
[ 94%] ABC: `` Generating dependency: /src/aig/hop/hopOper.c
[ 94%] ABC: `` Generating dependency: /src/aig/hop/hopObj.c
[ 94%] ABC: `` Generating dependency: /src/aig/hop/hopMem.c
[ 94%] ABC: `` Generating dependency: /src/aig/hop/hopMan.c
[ 94%] ABC: `` Generating dependency: /src/aig/hop/hopDfs.c
[ 94%] ABC: `` Generating dependency: /src/aig/hop/hopCheck.c
[ 94%] ABC: `` Generating dependency: /src/aig/hop/hopBalance.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyUtil.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyTable.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyShow.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivySeq.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyRwr.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyResyn.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyOper.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyObj.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyMulti.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyMan.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyMem.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyHaig.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyFraig.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyFastMap.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyFanout.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyDsd.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyDfs.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyCutTrav.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyCut.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyCheck.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyCanon.c
[ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyBalance.c
[ 94%] ABC: `` Generating dependency: /src/aig/ioa/ioaUtil.c
[ 94%] ABC: `` Generating dependency: /src/aig/ioa/ioaWriteAig.c
[ 94%] ABC: `` Generating dependency: /src/aig/ioa/ioaReadAig.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaUtil.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaUnate.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaTsim.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaTruth.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaTis.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaTim.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSwitch.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSweeper.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSweep.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSupp.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaStr.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSupMin.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaStg.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSplit.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSpeedup.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSort.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSimBase.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSim5.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSim4.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSim2.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSim.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaShrink7.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaShrink6.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaShrink.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaShow.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaScript.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaScl.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSat3.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSatoko.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSatMap.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSatLut.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSatLE.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSatEdge.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaRex.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaRetime.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaResub3.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaResub.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaResub2.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaQbf.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaPf.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaPat.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaPack.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaOf.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaMuxes.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaNf.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaMinLut2.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaMinLut.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaMini.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaMfs.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaMem.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaMan.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaMf.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaLf.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaKf.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaJf.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaIso3.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaIso2.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaIso.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaIff.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaIiff.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaIf.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaHash.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaGlitch.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaGig.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaGen.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaFx.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaFront.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaFrames.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaForce.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaFanout.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaFalse.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaExist.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaEsop.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaEra2.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaEra.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaEquiv.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaEnable.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaEmbed.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaEdge.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaDup.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaDfs.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaDeep.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCut.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCTas.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCSat2.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCSat.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCSatOld.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCone.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCof.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaClp.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCex.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCCof.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaBidec.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaBalMap.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaBalLut.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaBalAig.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaAigerExt.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaAiger.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaAgi.c
[ 94%] ABC: `` Generating dependency: /src/aig/gia/giaAig.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigWnd.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigTrans.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigTempor.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigSynch.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigSwitch.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigStrSim.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigSimSeq.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigSimMv.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigSimFast.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigScl.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigRetStep.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigRetMin.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigRetFwd.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigPhase.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigOutDec.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigMiter.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigIsoSlow.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigIsoFast.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigIso.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigIoa.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigInd.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigDup.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigDual.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigConstr2.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigConstr.c
[ 94%] ABC: `` Generating dependency: /src/aig/saig/saigCone.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigWin.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigUtil.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigTsim.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigTruth.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigTiming.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigTable.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigSplit.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigShow.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigScl.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigRetF.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigRet.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigRepr.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigPartReg.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigPartSat.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigPart.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigPack.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigOrder.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigOper.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigObj.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigMffc.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigMem.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigMan.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigJust.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigInter.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigFrames.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigFanout.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigDup.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigDfs.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigCuts.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigCanon.c
[ 94%] ABC: `` Generating dependency: /src/aig/aig/aigCheck.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswUnique.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswSweep.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswSimSat.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswSim.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswSemi.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswSat.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswRarity.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswPairs.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswPart.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswMan.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswLcorr.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswIslands.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswFilter.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswDyn.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswCore.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswConstr.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswCnf.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswClass.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswBmc.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswAig.c
[ 94%] ABC: `` Generating dependency: /src/proof/fra/fraSim.c
[ 94%] ABC: `` Generating dependency: /src/proof/fra/fraSec.c
[ 94%] ABC: `` Generating dependency: /src/proof/fra/fraSat.c
[ 94%] ABC: `` Generating dependency: /src/proof/fra/fraPart.c
[ 94%] ABC: `` Generating dependency: /src/proof/fra/fraMan.c
[ 94%] ABC: `` Generating dependency: /src/proof/fra/fraLcr.c
[ 94%] ABC: `` Generating dependency: /src/proof/fra/fraIndVer.c
[ 94%] ABC: `` Generating dependency: /src/proof/fra/fraInd.c
[ 94%] ABC: `` Generating dependency: /src/proof/fra/fraImp.c
[ 94%] ABC: `` Generating dependency: /src/proof/fra/fraHot.c
[ 94%] ABC: `` Generating dependency: /src/proof/fra/fraCore.c
[ 94%] ABC: `` Generating dependency: /src/proof/fra/fraCnf.c
[ 94%] ABC: `` Generating dependency: /src/proof/fra/fraClaus.c
[ 94%] ABC: `` Generating dependency: /src/proof/fra/fraClau.c
[ 94%] ABC: `` Generating dependency: /src/proof/fra/fraClass.c
[ 94%] ABC: `` Generating dependency: /src/proof/fra/fraCec.c
[ 94%] ABC: `` Generating dependency: /src/proof/fra/fraBmc.c
[ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigVec.c
[ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigUtil.c
[ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigTable.c
[ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigSat.c
[ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigPrime.c
[ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigNode.c
[ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigMem.c
[ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigMan.c
[ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigFeed.c
[ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigFanout.c
[ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigCanon.c
[ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigApi.c
[ 94%] ABC: `` Generating dependency: /src/proof/dch/dchSweep.c
[ 94%] ABC: `` Generating dependency: /src/proof/dch/dchSimSat.c
[ 94%] ABC: `` Generating dependency: /src/proof/dch/dchSim.c
[ 94%] ABC: `` Generating dependency: /src/proof/dch/dchSat.c
[ 94%] ABC: `` Generating dependency: /src/proof/dch/dchMan.c
[ 94%] ABC: `` Generating dependency: /src/proof/dch/dchCore.c
[ 94%] ABC: `` Generating dependency: /src/proof/dch/dchCnf.c
[ 94%] ABC: `` Generating dependency: /src/proof/dch/dchClass.c
[ 94%] ABC: `` Generating dependency: /src/proof/dch/dchChoice.c
[ 94%] ABC: `` Generating dependency: /src/proof/dch/dchAig.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecXor.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acec2Mult.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecUtil.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecTree.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecSt.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecPolyn.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecOrder.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecNorm.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecMult.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecFadds.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecCover.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecPool.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecPo.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecPa.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecRe.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecBo.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecCo.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecCore.c
[ 94%] ABC: `` Generating dependency: /src/proof/acec/acecCl.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSweep.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSynth.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSplit.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSolveG.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSolve.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSim.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSeq.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSatG2.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSatG.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSat.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecPat.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecMan.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecIso.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecCorr.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecCore.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecClass.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecChoice.c
[ 94%] ABC: `` Generating dependency: /src/proof/cec/cecCec.c
[ 94%] ABC: `` Generating dependency: /src/proof/int/intUtil.c
[ 94%] ABC: `` Generating dependency: /src/proof/int/intMan.c
[ 94%] ABC: `` Generating dependency: /src/proof/int/intM114.c
[ 94%] ABC: `` Generating dependency: /src/proof/int/intInter.c
[ 94%] ABC: `` Generating dependency: /src/proof/int/intFrames.c
[ 94%] ABC: `` Generating dependency: /src/proof/int/intDup.c
[ 94%] ABC: `` Generating dependency: /src/proof/int/intCtrex.c
[ 94%] ABC: `` Generating dependency: /src/proof/int/intCore.c
[ 94%] ABC: `` Generating dependency: /src/proof/int/intContain.c
[ 94%] ABC: `` Generating dependency: /src/proof/int/intCheck.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssc/sscUtil.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssc/sscSim.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssc/sscSat.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssc/sscCore.c
[ 94%] ABC: `` Generating dependency: /src/proof/ssc/sscClass.c
[ 94%] ABC: `` Generating dependency: /src/proof/live/combination.c
[ 94%] ABC: `` Generating dependency: /src/proof/live/kLiveConstraints.c
[ 94%] ABC: `` Generating dependency: /src/proof/live/arenaViolation.c
[ 94%] ABC: `` Generating dependency: /src/proof/live/disjunctiveMonotone.c
[ 94%] ABC: `` Generating dependency: /src/proof/live/monotone.c
[ 94%] ABC: `` Generating dependency: /src/proof/live/kliveness.c
[ 94%] ABC: `` Generating dependency: /src/proof/live/ltl_parser.c
[ 94%] ABC: `` Generating dependency: /src/proof/live/liveness_sim.c
[ 94%] ABC: `` Generating dependency: /src/proof/live/liveness.c
[ 94%] ABC: `` Generating dependency: /src/proof/abs/absUtil.c
[ 94%] ABC: `` Generating dependency: /src/proof/abs/absVta.c
[ 94%] ABC: `` Generating dependency: /src/proof/abs/absRpmOld.c
[ 94%] ABC: `` Generating dependency: /src/proof/abs/absRpm.c
[ 94%] ABC: `` Generating dependency: /src/proof/abs/absRefSelect.c
[ 94%] ABC: `` Generating dependency: /src/proof/abs/absRef.c
[ 94%] ABC: `` Generating dependency: /src/proof/abs/absPth.c
[ 94%] ABC: `` Generating dependency: /src/proof/abs/absOut.c
[ 94%] ABC: `` Generating dependency: /src/proof/abs/absOldSim.c
[ 94%] ABC: `` Generating dependency: /src/proof/abs/absOldSat.c
[ 94%] ABC: `` Generating dependency: /src/proof/abs/absOldRef.c
[ 94%] ABC: `` Generating dependency: /src/proof/abs/absOldCex.c
[ 94%] ABC: `` Generating dependency: /src/proof/abs/absIter.c
[ 94%] ABC: `` Generating dependency: /src/proof/abs/absGlaOld.c
[ 94%] ABC: `` Generating dependency: /src/proof/abs/absGla.c
[ 94%] ABC: `` Generating dependency: /src/proof/abs/absDup.c
[ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrUtil.c
[ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrTsim3.c
[ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrTsim2.c
[ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrTsim.c
[ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrSat.c
[ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrMan.c
[ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrInv.c
[ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrIncr.c
[ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrCore.c
[ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrCnf.c
[ 94%] ABC: `` Generating dependency: /src/bool/rpo/rpo.c
[ 94%] ABC: `` Generating dependency: /src/bool/rsb/rsbMan.c
[ 94%] ABC: `` Generating dependency: /src/bool/rsb/rsbDec6.c
[ 94%] ABC: `` Generating dependency: /src/bool/lucky/luckySwap.c
[ 94%] ABC: `` Generating dependency: /src/bool/lucky/luckySwapIJ.c
[ 94%] ABC: `` Generating dependency: /src/bool/lucky/luckySimple.c
[ 94%] ABC: `` Generating dependency: /src/bool/lucky/luckyRead.c
[ 94%] ABC: `` Generating dependency: /src/bool/lucky/luckyFast6.c
[ 94%] ABC: `` Generating dependency: /src/bool/lucky/luckyFast16.c
[ 94%] ABC: `` Generating dependency: /src/bool/lucky/lucky.c
[ 94%] ABC: `` Generating dependency: /src/bool/kit/kitTruth.c
[ 94%] ABC: `` Generating dependency: /src/bool/kit/kitSop.c
[ 94%] ABC: `` Generating dependency: /src/bool/kit/kitPla.c
[ 94%] ABC: `` Generating dependency: /src/bool/kit/kitIsop.c
[ 94%] ABC: `` Generating dependency: /src/bool/kit/kitHop.c
[ 94%] ABC: `` Generating dependency: /src/bool/kit/kitGraph.c
[ 94%] ABC: `` Generating dependency: /src/bool/kit/kitDsd.c
[ 94%] ABC: `` Generating dependency: /src/bool/kit/kitFactor.c
[ 94%] ABC: `` Generating dependency: /src/bool/kit/cloud.c
[ 94%] ABC: `` Generating dependency: /src/bool/kit/kitCloud.c
[ 94%] ABC: `` Generating dependency: /src/bool/kit/kitBdd.c
[ 94%] ABC: `` Generating dependency: /src/bool/kit/kitAig.c
[ 94%] ABC: `` Generating dependency: /src/bool/dec/decUtil.c
[ 94%] ABC: `` Generating dependency: /src/bool/dec/decPrint.c
[ 94%] ABC: `` Generating dependency: /src/bool/dec/decMan.c
[ 94%] ABC: `` Generating dependency: /src/bool/dec/decFactor.c
[ 94%] ABC: `` Generating dependency: /src/bool/dec/decAbc.c
[ 94%] ABC: `` Generating dependency: /src/bool/bdc/bdcTable.c
[ 94%] ABC: `` Generating dependency: /src/bool/bdc/bdcSpfd.c
[ 94%] ABC: `` Generating dependency: /src/bool/bdc/bdcDec.c
[ 94%] ABC: `` Generating dependency: /src/bool/bdc/bdcCore.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcUnroll.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcMulti.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcMesh2.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcMesh.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcMaxi.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcMaj3.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcMaj2.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcMaj.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcLoad.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcInse.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcICheck.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcGen.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcFx.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcFault.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcExpand.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcClp.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcEco.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcChain.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcCexTools.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin2.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin1.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcCexDepth.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcCexCut.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcCexCare.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcBmcS.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcBmcG.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcBmci.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcBmcAnd.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcBmc3.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcBmc2.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcBmc.c
[ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcBCore.c
[ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfWrite.c
[ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfUtil.c
[ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfPost.c
[ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfMap.c
[ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfMan.c
[ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfFast.c
[ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfData.c
[ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfCut.c
[ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfCore.c
[ 94%] ABC: `` Generating dependency: /src/sat/msat/msatVec.c
[ 94%] ABC: `` Generating dependency: /src/sat/msat/msatSort.c
[ 94%] ABC: `` Generating dependency: /src/sat/msat/msatSolverSearch.c
[ 94%] ABC: `` Generating dependency: /src/sat/msat/msatSolverIo.c
[ 94%] ABC: `` Generating dependency: /src/sat/msat/msatSolverCore.c
[ 94%] ABC: `` Generating dependency: /src/sat/msat/msatSolverApi.c
[ 94%] ABC: `` Generating dependency: /src/sat/msat/msatRead.c
[ 94%] ABC: `` Generating dependency: /src/sat/msat/msatQueue.c
[ 94%] ABC: `` Generating dependency: /src/sat/msat/msatOrderH.c
[ 94%] ABC: `` Generating dependency: /src/sat/msat/msatMem.c
[ 94%] ABC: `` Generating dependency: /src/sat/msat/msatClauseVec.c
[ 94%] ABC: `` Generating dependency: /src/sat/msat/msatClause.c
[ 94%] ABC: `` Generating dependency: /src/sat/msat/msatActivity.c
[ 94%] ABC: `` Generating dependency: /src/sat/csat/csat_apis.c
[ 94%] ABC: `` Generating dependency: /src/sat/satoko/cnf_reader.c
[ 94%] ABC: `` Generating dependency: /src/sat/satoko/solver_api.c
[ 94%] ABC: `` Generating dependency: /src/sat/satoko/solver.c
[ 94%] ABC: `` Generating dependency: /src/sat/xsat/xsatCnfReader.c
[ 94%] ABC: `` Generating dependency: /src/sat/xsat/xsatSolverAPI.c
[ 94%] ABC: `` Generating dependency: /src/sat/xsat/xsatSolver.c
[ 94%] ABC: `` Generating dependency: /src/sat/bsat/satUtil.c
[ 94%] ABC: `` Generating dependency: /src/sat/bsat/satTruth.c
[ 94%] ABC: `` Generating dependency: /src/sat/bsat/satTrace.c
[ 94%] ABC: `` Generating dependency: /src/sat/bsat/satStore.c
[ 94%] ABC: `` Generating dependency: /src/sat/bsat/satSolver3.c
[ 94%] ABC: `` Generating dependency: /src/sat/bsat/satSolver2i.c
[ 94%] ABC: `` Generating dependency: /src/sat/bsat/satSolver2.c
[ 94%] ABC: `` Generating dependency: /src/sat/bsat/satSolver.c
[ 94%] ABC: `` Generating dependency: /src/sat/bsat/satProof.c
[ 94%] ABC: `` Generating dependency: /src/sat/bsat/satInterP.c
[ 94%] ABC: `` Generating dependency: /src/sat/bsat/satInterB.c
[ 94%] ABC: `` Generating dependency: /src/sat/bsat/satInterA.c
[ 94%] ABC: `` Generating dependency: /src/sat/bsat/satInter.c
[ 94%] ABC: `` Generating dependency: /src/sat/bsat/satMem.c
[ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbdWin.c
[ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbdSat.c
[ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbdPath.c
[ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbdLut.c
[ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbdCut2.c
[ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbdCut.c
[ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbdCore.c
[ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbdCnf.c
[ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbd.c
[ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmWin.c
[ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmMit.c
[ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmTim.c
[ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmSat.c
[ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmNtk.c
[ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmLib.c
[ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmDec.c
[ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmCore.c
[ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmCnf.c
[ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmArea.c
[ 94%] ABC: `` Generating dependency: /src/opt/dsc/dsc.c
[ 94%] ABC: `` Generating dependency: /src/opt/dau/dauTree.c
[ 94%] ABC: `` Generating dependency: /src/opt/dau/dauNpn2.c
[ 94%] ABC: `` Generating dependency: /src/opt/dau/dauNpn.c
[ 94%] ABC: `` Generating dependency: /src/opt/dau/dauNonDsd.c
[ 94%] ABC: `` Generating dependency: /src/opt/dau/dauMerge.c
[ 94%] ABC: `` Generating dependency: /src/opt/dau/dauGia.c
[ 94%] ABC: `` Generating dependency: /src/opt/dau/dauEnum.c
[ 94%] ABC: `` Generating dependency: /src/opt/dau/dauDsd.c
[ 94%] ABC: `` Generating dependency: /src/opt/dau/dauDivs.c
[ 94%] ABC: `` Generating dependency: /src/opt/dau/dauCount.c
[ 94%] ABC: `` Generating dependency: /src/opt/dau/dauCore.c
[ 94%] ABC: `` Generating dependency: /src/opt/dau/dauCanon.c
[ 94%] ABC: `` Generating dependency: /src/opt/dar/darScript.c
[ 94%] ABC: `` Generating dependency: /src/opt/dar/darRefact.c
[ 94%] ABC: `` Generating dependency: /src/opt/dar/darPrec.c
[ 94%] ABC: `` Generating dependency: /src/opt/dar/darMan.c
[ 94%] ABC: `` Generating dependency: /src/opt/dar/darLib.c
[ 94%] ABC: `` Generating dependency: /src/opt/dar/darData.c
[ 94%] ABC: `` Generating dependency: /src/opt/dar/darCut.c
[ 94%] ABC: `` Generating dependency: /src/opt/dar/darCore.c
[ 94%] ABC: `` Generating dependency: /src/opt/dar/darBalance.c
[ 94%] ABC: `` Generating dependency: /src/opt/csw/cswTable.c
[ 94%] ABC: `` Generating dependency: /src/opt/csw/cswMan.c
[ 94%] ABC: `` Generating dependency: /src/opt/csw/cswCut.c
[ 94%] ABC: `` Generating dependency: /src/opt/csw/cswCore.c
[ 94%] ABC: `` Generating dependency: /src/opt/cgt/cgtSat.c
[ 94%] ABC: `` Generating dependency: /src/opt/cgt/cgtMan.c
[ 94%] ABC: `` Generating dependency: /src/opt/cgt/cgtDecide.c
[ 94%] ABC: `` Generating dependency: /src/opt/cgt/cgtCore.c
[ 94%] ABC: `` Generating dependency: /src/opt/cgt/cgtAig.c
[ 94%] ABC: `` Generating dependency: /src/opt/rwt/rwtUtil.c
[ 94%] ABC: `` Generating dependency: /src/opt/rwt/rwtMan.c
[ 94%] ABC: `` Generating dependency: /src/opt/rwt/rwtDec.c
[ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkUtil.c
[ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkTiming.c
[ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkStrash.c
[ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkSpeedup.c
[ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkObj.c
[ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkMerge.c
[ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkMap.c
[ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkMan.c
[ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkFlow.c
[ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkFanio.c
[ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkDfs.c
[ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkBidec.c
[ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkCheck.c
[ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkAig.c
[ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkSets.c
[ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkMux.c
[ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkMulti.c
[ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkMap.c
[ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkMan.c
[ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkCut.c
[ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkAbcUtil.c
[ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDsd.c
[ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkAbcMux.c
[ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDec.c
[ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkCore.c
[ 94%] ABC: `` Generating dependency: /src/opt/res/resWin.c
[ 94%] ABC: `` Generating dependency: /src/opt/res/resStrash.c
[ 94%] ABC: `` Generating dependency: /src/opt/res/resSim.c
[ 94%] ABC: `` Generating dependency: /src/opt/res/resSat.c
[ 94%] ABC: `` Generating dependency: /src/opt/res/resFilter.c
[ 94%] ABC: `` Generating dependency: /src/opt/res/resDivs.c
[ 94%] ABC: `` Generating dependency: /src/opt/res/resCore.c
[ 94%] ABC: `` Generating dependency: /src/opt/fret/fretTime.c
[ 94%] ABC: `` Generating dependency: /src/opt/fret/fretInit.c
[ 94%] ABC: `` Generating dependency: /src/opt/fret/fretFlow.c
[ 94%] ABC: `` Generating dependency: /src/opt/fret/fretMain.c
[ 94%] ABC: `` Generating dependency: /src/opt/ret/retLvalue.c
[ 94%] ABC: `` Generating dependency: /src/opt/ret/retInit.c
[ 94%] ABC: `` Generating dependency: /src/opt/ret/retIncrem.c
[ 94%] ABC: `` Generating dependency: /src/opt/ret/retFlow.c
[ 94%] ABC: `` Generating dependency: /src/opt/ret/retDelay.c
[ 94%] ABC: `` Generating dependency: /src/opt/ret/retCore.c
[ 94%] ABC: `` Generating dependency: /src/opt/ret/retArea.c
[ 94%] ABC: `` Generating dependency: /src/opt/sim/simUtils.c
[ 94%] ABC: `` Generating dependency: /src/opt/sim/simSymStr.c
[ 94%] ABC: `` Generating dependency: /src/opt/sim/simSymSim.c
[ 94%] ABC: `` Generating dependency: /src/opt/sim/simSymSat.c
[ 94%] ABC: `` Generating dependency: /src/opt/sim/simSym.c
[ 94%] ABC: `` Generating dependency: /src/opt/sim/simSwitch.c
[ 94%] ABC: `` Generating dependency: /src/opt/sim/simSeq.c
[ 94%] ABC: `` Generating dependency: /src/opt/sim/simSupp.c
[ 94%] ABC: `` Generating dependency: /src/opt/sim/simMan.c
[ 94%] ABC: `` Generating dependency: /src/opt/mfs/mfsWin.c
[ 94%] ABC: `` Generating dependency: /src/opt/mfs/mfsStrash.c
[ 94%] ABC: `` Generating dependency: /src/opt/mfs/mfsSat.c
[ 94%] ABC: `` Generating dependency: /src/opt/mfs/mfsResub.c
[ 94%] ABC: `` Generating dependency: /src/opt/mfs/mfsMan.c
[ 94%] ABC: `` Generating dependency: /src/opt/mfs/mfsInter.c
[ 94%] ABC: `` Generating dependency: /src/opt/mfs/mfsDiv.c
[ 94%] ABC: `` Generating dependency: /src/opt/mfs/mfsCore.c
[ 94%] ABC: `` Generating dependency: /src/opt/rwr/rwrUtil.c
[ 94%] ABC: `` Generating dependency: /src/opt/rwr/rwrPrint.c
[ 94%] ABC: `` Generating dependency: /src/opt/rwr/rwrMan.c
[ 94%] ABC: `` Generating dependency: /src/opt/rwr/rwrLib.c
[ 94%] ABC: `` Generating dependency: /src/opt/rwr/rwrExp.c
[ 94%] ABC: `` Generating dependency: /src/opt/rwr/rwrEva.c
[ 94%] ABC: `` Generating dependency: /src/opt/rwr/rwrDec.c
[ 94%] ABC: `` Generating dependency: /src/opt/fxch/FxchSCHashTable.c
[ 94%] ABC: `` Generating dependency: /src/opt/fxch/FxchMan.c
[ 94%] ABC: `` Generating dependency: /src/opt/fxch/FxchDiv.c
[ 94%] ABC: `` Generating dependency: /src/opt/fxch/Fxch.c
[ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuUpdate.c
[ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuSingle.c
[ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuSelect.c
[ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuReduce.c
[ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuPrint.c
[ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuPair.c
[ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuMatrix.c
[ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuList.c
[ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuHeapD.c
[ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuHeapS.c
[ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuCreate.c
[ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxu.c
[ 94%] ABC: `` Generating dependency: /src/opt/cut/cutTruth.c
[ 94%] ABC: `` Generating dependency: /src/opt/cut/cutSeq.c
[ 94%] ABC: `` Generating dependency: /src/opt/cut/cutPre22.c
[ 94%] ABC: `` Generating dependency: /src/opt/cut/cutOracle.c
[ 94%] ABC: `` Generating dependency: /src/opt/cut/cutNode.c
[ 94%] ABC: `` Generating dependency: /src/opt/cut/cutMerge.c
[ 94%] ABC: `` Generating dependency: /src/opt/cut/cutMan.c
[ 94%] ABC: `` Generating dependency: /src/opt/cut/cutCut.c
[ 94%] ABC: `` Generating dependency: /src/opt/cut/cutApi.c
[ 94%] ABC: `` Generating dependency: /src/misc/parse/parseStack.c
[ 94%] ABC: `` Generating dependency: /src/misc/parse/parseEqn.c
[ 94%] ABC: `` Generating dependency: /src/misc/bbl/bblif.c
[ 94%] ABC: `` Generating dependency: /src/misc/bar/bar.c
[ 94%] ABC: `` Generating dependency: /src/misc/mem/mem.c
[ 94%] ABC: `` Generating dependency: /src/misc/zlib/zutil.c
[ 94%] ABC: `` Generating dependency: /src/misc/zlib/uncompr.c
[ 94%] ABC: `` Generating dependency: /src/misc/zlib/trees.c
[ 94%] ABC: `` Generating dependency: /src/misc/zlib/inftrees.c
[ 94%] ABC: `` Generating dependency: /src/misc/zlib/inflate.c
[ 94%] ABC: `` Generating dependency: /src/misc/zlib/inffast.c
[ 94%] ABC: `` Generating dependency: /src/misc/zlib/infback.c
[ 94%] ABC: `` Generating dependency: /src/misc/zlib/gzwrite.c
[ 94%] ABC: `` Generating dependency: /src/misc/zlib/gzread.c
[ 94%] ABC: `` Generating dependency: /src/misc/zlib/gzlib.c
[ 94%] ABC: `` Generating dependency: /src/misc/zlib/gzclose.c
[ 94%] ABC: `` Generating dependency: /src/misc/zlib/deflate.c
[ 94%] ABC: `` Generating dependency: /src/misc/zlib/crc32.c
[ 94%] ABC: `` Generating dependency: /src/misc/zlib/compress_.c
[ 94%] ABC: `` Generating dependency: /src/misc/zlib/adler32.c
[ 94%] ABC: `` Generating dependency: /src/misc/bzlib/randtable.c
[ 94%] ABC: `` Generating dependency: /src/misc/bzlib/huffman.c
[ 94%] ABC: `` Generating dependency: /src/misc/bzlib/decompress.c
[ 94%] ABC: `` Generating dependency: /src/misc/bzlib/crctable.c
[ 94%] ABC: `` Generating dependency: /src/misc/bzlib/compress.c
[ 94%] ABC: `` Generating dependency: /src/misc/bzlib/bzlib.c
[ 94%] ABC: `` Generating dependency: /src/misc/bzlib/blocksort.c
[ 94%] ABC: `` Generating dependency: /src/misc/tim/timTrav.c
[ 94%] ABC: `` Generating dependency: /src/misc/tim/timTime.c
[ 94%] ABC: `` Generating dependency: /src/misc/tim/timMan.c
[ 94%] ABC: `` Generating dependency: /src/misc/tim/timDump.c
[ 94%] ABC: `` Generating dependency: /src/misc/tim/timBox.c
[ 94%] ABC: `` Generating dependency: /src/misc/nm/nmTable.c
[ 94%] ABC: `` Generating dependency: /src/misc/nm/nmApi.c
[ 94%] ABC: `` Generating dependency: /src/misc/util/utilSort.c
[ 94%] ABC: `` Generating dependency: /src/misc/util/utilSignal.c
[ 94%] ABC: `` Generating dependency: /src/misc/util/utilNam.c
[ 94%] ABC: `` Generating dependency: /src/misc/util/utilIsop.c
[ 94%] ABC: `` Generating dependency: /src/misc/util/utilFile.c
[ 94%] ABC: `` Generating dependency: /src/misc/util/utilColor.c
[ 94%] ABC: `` Generating dependency: /src/misc/util/utilCex.c
[ 94%] ABC: `` Generating dependency: /src/misc/util/utilBridge.c
[ 94%] ABC: `` Generating dependency: /src/misc/st/stmm.c
[ 94%] ABC: `` Generating dependency: /src/misc/st/st.c
[ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcUtils.c
[ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcSort.c
[ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcPrint.c
[ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcOpBool.c
[ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcOpAlg.c
[ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcMan.c
[ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcLits.c
[ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcList.c
[ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcDivisor.c
[ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcDivide.c
[ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcCube.c
[ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcCover.c
[ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcContain.c
[ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcCompare.c
[ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcApi.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilUtil.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilTruth.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilSupp.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilReader.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilProgress.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilPerm.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilPath.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilMult.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilMisc.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilMemory.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilMaj.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilMacc.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilGen.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilFile.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilEnum.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilDsd.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilCube.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilCfs.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilCanon.c
[ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilBitMatrix.c
[ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmUtil.c
[ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmTruth.c
[ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmMig.c
[ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmPre.c
[ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmMap.c
[ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmMan.c
[ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmLib.c
[ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmGates.c
[ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmCore.c
[ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmDsd.c
[ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmAbc.c
[ 94%] ABC: `` Generating dependency: /src/map/scl/sclUtil.c
[ 94%] ABC: `` Generating dependency: /src/map/scl/sclUpsize.c
[ 94%] ABC: `` Generating dependency: /src/map/scl/sclSize.c
[ 94%] ABC: `` Generating dependency: /src/map/scl/sclLoad.c
[ 94%] ABC: `` Generating dependency: /src/map/scl/sclLibUtil.c
[ 94%] ABC: `` Generating dependency: /src/map/scl/sclLibScl.c
[ 94%] ABC: `` Generating dependency: /src/map/scl/sclDnsize.c
[ 94%] ABC: `` Generating dependency: /src/map/scl/sclLiberty.c
[ 94%] ABC: `` Generating dependency: /src/map/scl/sclBufSize.c
[ 94%] ABC: `` Generating dependency: /src/map/scl/sclBuffer.c
[ 94%] ABC: `` Generating dependency: /src/map/scl/scl.c
[ 94%] ABC: `` Generating dependency: /src/map/cov/covMinUtil.c
[ 94%] ABC: `` Generating dependency: /src/map/cov/covMinSop.c
[ 94%] ABC: `` Generating dependency: /src/map/cov/covMinMan.c
[ 94%] ABC: `` Generating dependency: /src/map/cov/covMinEsop.c
[ 94%] ABC: `` Generating dependency: /src/map/cov/covMan.c
[ 94%] ABC: `` Generating dependency: /src/map/cov/covCore.c
[ 94%] ABC: `` Generating dependency: /src/map/cov/covBuild.c
[ 94%] ABC: `` Generating dependency: /src/map/amap/amapUniq.c
[ 94%] ABC: `` Generating dependency: /src/map/amap/amapRule.c
[ 94%] ABC: `` Generating dependency: /src/map/amap/amapRead.c
[ 94%] ABC: `` Generating dependency: /src/map/amap/amapPerm.c
[ 94%] ABC: `` Generating dependency: /src/map/amap/amapParse.c
[ 94%] ABC: `` Generating dependency: /src/map/amap/amapOutput.c
[ 94%] ABC: `` Generating dependency: /src/map/amap/amapMerge.c
[ 94%] ABC: `` Generating dependency: /src/map/amap/amapMatch.c
[ 94%] ABC: `` Generating dependency: /src/map/amap/amapMan.c
[ 94%] ABC: `` Generating dependency: /src/map/amap/amapLiberty.c
[ 94%] ABC: `` Generating dependency: /src/map/amap/amapLib.c
[ 94%] ABC: `` Generating dependency: /src/map/amap/amapGraph.c
[ 94%] ABC: `` Generating dependency: /src/map/amap/amapCore.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifUtil.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifTune.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifTruth.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifTime.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifTest.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifSeq.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifSelect.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifReduce.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifSat.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifMatch2.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifMap.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifMan.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifLibBox.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifLibLut.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifDsd.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifDec75.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifDec16.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifDelay.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifDec10.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifDec08.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifDec07.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifData2.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifCore.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifCut.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifCache.c
[ 94%] ABC: `` Generating dependency: /src/map/if/ifCom.c
[ 94%] ABC: `` Generating dependency: /src/map/super/superGate.c
[ 94%] ABC: `` Generating dependency: /src/map/super/superAnd.c
[ 94%] ABC: `` Generating dependency: /src/map/super/super.c
[ 94%] ABC: `` Generating dependency: /src/map/mio/mioUtils.c
[ 94%] ABC: `` Generating dependency: /src/map/mio/mioSop.c
[ 94%] ABC: `` Generating dependency: /src/map/mio/mioRead.c
[ 94%] ABC: `` Generating dependency: /src/map/mio/mioParse.c
[ 94%] ABC: `` Generating dependency: /src/map/mio/mioFunc.c
[ 94%] ABC: `` Generating dependency: /src/map/mio/mioApi.c
[ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperVec.c
[ 94%] ABC: `` Generating dependency: /src/map/mio/mio.c
[ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperUtils.c
[ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperTruth.c
[ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperTree.c
[ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperTime.c
[ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperTable.c
[ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperSwitch.c
[ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperSuper.c
[ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperRefs.c
[ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperMatch.c
[ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperLib.c
[ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperCutUtils.c
[ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperCut.c
[ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperCreate.c
[ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperCore.c
[ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperCanon.c
[ 94%] ABC: `` Generating dependency: /src/map/mapper/mapper.c
[ 94%] ABC: `` Generating dependency: /src/base/test/test.c
[ 94%] ABC: `` Generating dependency: /src/base/pla/plaWrite.c
[ 94%] ABC: `` Generating dependency: /src/base/pla/plaRead.c
[ 94%] ABC: `` Generating dependency: /src/base/pla/plaSimple.c
[ 94%] ABC: `` Generating dependency: /src/base/pla/plaMerge.c
[ 94%] ABC: `` Generating dependency: /src/base/pla/plaMan.c
[ 94%] ABC: `` Generating dependency: /src/base/pla/plaHash.c
[ 94%] ABC: `` Generating dependency: /src/base/pla/plaCom.c
[ 94%] ABC: `` Generating dependency: /src/base/cba/cbaWriteVer.c
[ 94%] ABC: `` Generating dependency: /src/base/cba/cbaWriteBlif.c
[ 94%] ABC: `` Generating dependency: /src/base/cba/cbaReadVer.c
[ 94%] ABC: `` Generating dependency: /src/base/cba/cbaReadBlif.c
[ 94%] ABC: `` Generating dependency: /src/base/cba/cbaNtk.c
[ 94%] ABC: `` Generating dependency: /src/base/cba/cbaCom.c
[ 94%] ABC: `` Generating dependency: /src/base/cba/cbaCba.c
[ 94%] ABC: `` Generating dependency: /src/base/cba/cbaBlast.c
[ 94%] ABC: `` Generating dependency: /src/base/bac/bacWriteVer.c
[ 94%] ABC: `` Generating dependency: /src/base/bac/bacWriteSmt.c
[ 94%] ABC: `` Generating dependency: /src/base/bac/bacWriteBlif.c
[ 94%] ABC: `` Generating dependency: /src/base/bac/bacReadVer.c
[ 94%] ABC: `` Generating dependency: /src/base/bac/bacReadBlif.c
[ 94%] ABC: `` Generating dependency: /src/base/bac/bacReadSmt.c
[ 94%] ABC: `` Generating dependency: /src/base/bac/bacPtrAbc.c
[ 94%] ABC: `` Generating dependency: /src/base/bac/bacPtr.c
[ 94%] ABC: `` Generating dependency: /src/base/bac/bacPrsTrans.c
[ 94%] ABC: `` Generating dependency: /src/base/bac/bacPrsBuild.c
[ 94%] ABC: `` Generating dependency: /src/base/bac/bacNtk.c
[ 94%] ABC: `` Generating dependency: /src/base/bac/bacLib.c
[ 94%] ABC: `` Generating dependency: /src/base/bac/bacCom.c
[ 94%] ABC: `` Generating dependency: /src/base/bac/bacBlast.c
[ 94%] ABC: `` Generating dependency: /src/base/bac/bacBac.c
[ 94%] ABC: `` Generating dependency: /src/base/acb/acbUtil.c
[ 94%] ABC: `` Generating dependency: /src/base/acb/acbTest.c
[ 94%] ABC: `` Generating dependency: /src/base/acb/acbSets.c
[ 94%] ABC: `` Generating dependency: /src/base/acb/acbPush.c
[ 94%] ABC: `` Generating dependency: /src/base/acb/acbMfs.c
[ 94%] ABC: `` Generating dependency: /src/base/acb/acbFunc.c
[ 94%] ABC: `` Generating dependency: /src/base/acb/acbCom.c
[ 94%] ABC: `` Generating dependency: /src/base/acb/acbAig.c
[ 94%] ABC: `` Generating dependency: /src/base/acb/acbAbc.c
[ 94%] ABC: `` Generating dependency: /src/base/wln/wlnWriteVer.c
[ 94%] ABC: `` Generating dependency: /src/base/wln/wlnWlc.c
[ 94%] ABC: `` Generating dependency: /src/base/wln/wlnRetime.c
[ 94%] ABC: `` Generating dependency: /src/base/wln/wlnObj.c
[ 94%] ABC: `` Generating dependency: /src/base/wln/wlnNtk.c
[ 94%] ABC: `` Generating dependency: /src/base/wln/wlnNdr.c
[ 94%] ABC: `` Generating dependency: /src/base/wln/wlnMem.c
[ 94%] ABC: `` Generating dependency: /src/base/wln/wln.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcWriteVer.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcWin.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcUif.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcStdin.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcShow.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcSim.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcReadVer.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcReadSmt.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcNtk.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcNdr.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcMem.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcJson.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcGraft.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcCom.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcBlast.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcPth.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcAbc.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcAbs2.c
[ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcAbs.c
[ 94%] ABC: `` Generating dependency: /src/base/ver/verStream.c
[ 94%] ABC: `` Generating dependency: /src/base/ver/verParse.c
[ 94%] ABC: `` Generating dependency: /src/base/ver/verFormula.c
[ 94%] ABC: `` Generating dependency: /src/base/ver/verCore.c
[ 94%] ABC: `` Generating dependency: /src/base/exor/exorUtil.c
[ 94%] ABC: `` Generating dependency: /src/base/exor/exorList.c
[ 94%] ABC: `` Generating dependency: /src/base/exor/exorLink.c
[ 94%] ABC: `` Generating dependency: /src/base/exor/exorCubes.c
[ 94%] ABC: `` Generating dependency: /src/base/exor/exorBits.c
[ 94%] ABC: `` Generating dependency: /src/base/exor/exor.c
[ 94%] ABC: `` Generating dependency: /src/base/main/mainUtils.c
[ 94%] ABC: `` Generating dependency: /src/base/main/libSupport.c
[ 94%] ABC: `` Generating dependency: /src/base/main/mainReal.c
[ 94%] ABC: `` Generating dependency: /src/base/main/mainLib.c
[ 94%] ABC: `` Generating dependency: /src/base/main/mainInit.c
[ 94%] ABC: `` Generating dependency: /src/base/main/mainFrame.c
[ 94%] ABC: `` Generating dependency: /src/base/main/main.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteSmv.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteVerilog.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioWritePla.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteList.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteGml.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteEqn.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteDot.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteCnf.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteBook.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteBlifMv.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteBlif.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteBench.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteBblif.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteBaf.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteAiger.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioUtil.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioReadVerilog.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioReadPlaMo.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioReadPla.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioReadEqn.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioReadEdif.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioReadDsd.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioReadBlifMv.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioReadBlifAig.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioReadBlif.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioReadBench.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioReadBblif.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioReadBaf.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioReadAiger.c
[ 94%] ABC: `` Generating dependency: /src/base/io/ioJson.c
[ 94%] ABC: `` Generating dependency: /src/base/io/io.c
[ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdUtils.c
[ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdStarter.c
[ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdPlugin.c
[ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdLoad.c
[ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdHist.c
[ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdFlag.c
[ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdAuto.c
[ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdApi.c
[ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdAlias.c
[ 94%] ABC: `` Generating dependency: /src/base/cmd/cmd.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcXsim.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcVerify.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcUnreach.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcUnate.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcTiming.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcTim.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcSymm.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcSweep.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcStrash.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcSpeedup.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcSense.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcScorr.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcSaucy.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcSat.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcRunGen.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcRr.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcRpo.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcRewrite.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcResub.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcRestruct.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcReorder.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcRenode.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcRefactor.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcReach.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcReconv.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcRec3.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcQuant.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcProve.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcQbf.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcPrint.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcPart.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcOrder.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcOdc.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcNpnSave.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcNpn.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcNtbdd.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcMulti.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcMiter.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcMini.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcMfs.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcMerge.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcMap.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcLutmin.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcLut.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcLog.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcIvy.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcIfMux.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcIfif.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcIf.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcHaig.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcGen.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcFxu.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcFx.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcFraig.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcExtract.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcExact.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcEco.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcDsd.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcDress3.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcDress2.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcDress.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcDetect.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcDec.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcDebug.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcDar.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcCut.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcCollapse.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcCascade.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcCas.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcBmc.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcBm.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcBidec.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcBalance.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcAuto.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abcAttach.c
[ 94%] ABC: `` Generating dependency: /src/base/abci/abc.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcUtil.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcSop.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcShow.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcRefs.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcObj.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcNtk.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcNetlist.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcNames.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcMinBase.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcLib.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcLatch.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcHieNew.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcHieGia.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcHieCec.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcHie.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcFunc.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcFanOrder.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcFanio.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcDfs.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcCheck.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcBlifMv.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcBarBuf.c
[ 94%] ABC: `` Generating dependency: /src/base/abc/abcAig.c
[ 94%] ABC: `` Generating dependency: /src/sat/glucose2/System2.cpp
[ 94%] ABC: `` Generating dependency: /src/sat/glucose2/SimpSolver2.cpp
[ 94%] ABC: `` Generating dependency: /src/sat/glucose2/Options2.cpp
[ 94%] ABC: `` Generating dependency: /src/sat/glucose2/Glucose2.cpp
[ 94%] ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucoseCmd2.cpp
[ 94%] ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucose2.cpp
[ 94%] ABC: `` Generating dependency: /src/sat/glucose/System.cpp
[ 94%] ABC: `` Generating dependency: /src/sat/glucose/SimpSolver.cpp
[ 94%] ABC: `` Generating dependency: /src/sat/glucose/Options.cpp
[ 94%] ABC: `` Generating dependency: /src/sat/glucose/Glucose.cpp
[ 94%] ABC: `` Generating dependency: /src/sat/glucose/AbcGlucoseCmd.cpp
[ 94%] ABC: `` Generating dependency: /src/sat/glucose/AbcGlucose.cpp
[ 94%] ABC: Using CC=gcc
[ 94%] ABC: Using CXX=gcc
[ 94%] ABC: Using AR=ar
[ 94%] ABC: Using LD=gcc
[ 94%] ABC: Compiling with CUDD
[ 94%] ABC: Using libreadline
[ 94%] ABC: Using pthreads
[ 94%] ABC: Found GCC_VERSION 7
[ 94%] ABC: Found GCC_MAJOR>=5
[ 94%] ABC: Using explicit -lstdc++
[ 94%] ABC: Using CFLAGS=-Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable
[ 94%] ABC: `` Compiling: /src/sat/glucose/AbcGlucose.cpp
[ 94%] ABC: `` Compiling: /src/sat/glucose/AbcGlucoseCmd.cpp
[ 94%] ABC: `` Compiling: /src/sat/glucose/Glucose.cpp
[ 94%] ABC: `` Compiling: /src/sat/glucose/Options.cpp
[ 94%] ABC: `` Compiling: /src/sat/glucose/SimpSolver.cpp
[ 94%] ABC: `` Compiling: /src/sat/glucose/System.cpp
[ 94%] ABC: `` Compiling: /src/sat/glucose2/AbcGlucose2.cpp
[ 94%] ABC: `` Compiling: /src/sat/glucose2/AbcGlucoseCmd2.cpp
[ 94%] ABC: `` Compiling: /src/sat/glucose2/Glucose2.cpp
[ 94%] ABC: `` Compiling: /src/sat/glucose2/Options2.cpp
[ 94%] ABC: `` Compiling: /src/sat/glucose2/SimpSolver2.cpp
[ 94%] ABC: `` Compiling: /src/sat/glucose2/System2.cpp
[ 94%] ABC: `` Compiling: /src/base/abc/abcAig.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcBarBuf.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcBlifMv.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcCheck.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcDfs.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcFanio.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcFanOrder.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcFunc.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcHie.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcHieCec.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcHieGia.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcHieNew.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcLatch.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcLib.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcMinBase.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcNames.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcNetlist.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcNtk.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcObj.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcRefs.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcShow.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcSop.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcUtil.c
[ 94%] ABC: `` Compiling: /src/base/abci/abc.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcAttach.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcAuto.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcBalance.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcBidec.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcBm.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcBmc.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcCas.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcCascade.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcCollapse.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcCut.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcDar.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcDebug.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcDec.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcDetect.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcDress.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcDress2.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcDress3.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcDsd.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcEco.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcExact.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcExtract.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcFraig.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcFx.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcFxu.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcGen.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcHaig.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcIf.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcIfif.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcIfMux.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcIvy.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcLog.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcLut.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcLutmin.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcMap.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcMerge.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcMfs.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcMini.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcMiter.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcMulti.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcNtbdd.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcNpn.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcNpnSave.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcOdc.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcOrder.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcPart.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcPrint.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcProve.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcQbf.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcQuant.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcRec3.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcReconv.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcReach.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcRefactor.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcRenode.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcReorder.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcRestruct.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcResub.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcRewrite.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcRpo.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcRr.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcRunGen.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcSat.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcSaucy.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcScorr.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcSense.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcSpeedup.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcStrash.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcSweep.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcSymm.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcTim.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcTiming.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcUnate.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcUnreach.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcVerify.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcXsim.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmd.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdAlias.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdApi.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdAuto.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdFlag.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdHist.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdLoad.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdPlugin.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdStarter.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdUtils.c
[ 94%] ABC: `` Compiling: /src/base/io/io.c
[ 94%] ABC: `` Compiling: /src/base/io/ioJson.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadAiger.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadBaf.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadBblif.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadBench.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadBlif.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadBlifAig.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadBlifMv.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadDsd.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadEdif.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadEqn.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadPla.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadPlaMo.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadVerilog.c
[ 94%] ABC: `` Compiling: /src/base/io/ioUtil.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteAiger.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteBaf.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteBblif.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteBench.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteBlif.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteBlifMv.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteBook.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteCnf.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteDot.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteEqn.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteGml.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteList.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWritePla.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteVerilog.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteSmv.c
[ 94%] ABC: `` Compiling: /src/base/main/main.c
[ 94%] ABC: `` Compiling: /src/base/main/mainFrame.c
[ 94%] ABC: `` Compiling: /src/base/main/mainInit.c
[ 94%] ABC: `` Compiling: /src/base/main/mainLib.c
[ 94%] ABC: `` Compiling: /src/base/main/mainReal.c
[ 94%] ABC: `` Compiling: /src/base/main/libSupport.c
[ 94%] ABC: `` Compiling: /src/base/main/mainUtils.c
[ 94%] ABC: `` Compiling: /src/base/exor/exor.c
[ 94%] ABC: `` Compiling: /src/base/exor/exorBits.c
[ 94%] ABC: `` Compiling: /src/base/exor/exorCubes.c
[ 94%] ABC: `` Compiling: /src/base/exor/exorLink.c
[ 94%] ABC: `` Compiling: /src/base/exor/exorList.c
[ 94%] ABC: `` Compiling: /src/base/exor/exorUtil.c
[ 94%] ABC: `` Compiling: /src/base/ver/verCore.c
[ 94%] ABC: `` Compiling: /src/base/ver/verFormula.c
[ 94%] ABC: `` Compiling: /src/base/ver/verParse.c
[ 94%] ABC: `` Compiling: /src/base/ver/verStream.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcAbs.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcAbs2.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcAbc.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcPth.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcBlast.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcCom.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcGraft.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcJson.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcNdr.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcMem.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcNtk.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcReadSmt.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcReadVer.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcSim.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcShow.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcStdin.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcUif.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcWin.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcWriteVer.c
[ 94%] ABC: `` Compiling: /src/base/wln/wln.c
[ 94%] ABC: `` Compiling: /src/base/wln/wlnMem.c
[ 94%] ABC: `` Compiling: /src/base/wln/wlnNdr.c
[ 94%] ABC: `` Compiling: /src/base/wln/wlnNtk.c
[ 94%] ABC: `` Compiling: /src/base/wln/wlnObj.c
[ 94%] ABC: `` Compiling: /src/base/wln/wlnRetime.c
[ 94%] ABC: `` Compiling: /src/base/wln/wlnWlc.c
[ 94%] ABC: `` Compiling: /src/base/wln/wlnWriteVer.c
[ 94%] ABC: `` Compiling: /src/base/acb/acbAbc.c
[ 94%] ABC: `` Compiling: /src/base/acb/acbAig.c
[ 94%] ABC: `` Compiling: /src/base/acb/acbCom.c
[ 94%] ABC: `` Compiling: /src/base/acb/acbFunc.c
[ 94%] ABC: `` Compiling: /src/base/acb/acbMfs.c
[ 94%] ABC: `` Compiling: /src/base/acb/acbPush.c
[ 94%] ABC: `` Compiling: /src/base/acb/acbSets.c
[ 94%] ABC: `` Compiling: /src/base/acb/acbTest.c
[ 94%] ABC: `` Compiling: /src/base/acb/acbUtil.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacBlast.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacBac.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacCom.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacLib.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacNtk.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacPrsBuild.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacPrsTrans.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacPtr.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacPtrAbc.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacReadBlif.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacReadSmt.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacReadVer.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacWriteBlif.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacWriteSmt.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacWriteVer.c
[ 94%] ABC: `` Compiling: /src/base/cba/cbaBlast.c
[ 94%] ABC: `` Compiling: /src/base/cba/cbaCba.c
[ 94%] ABC: `` Compiling: /src/base/cba/cbaCom.c
[ 94%] ABC: `` Compiling: /src/base/cba/cbaNtk.c
[ 94%] ABC: `` Compiling: /src/base/cba/cbaReadBlif.c
[ 94%] ABC: `` Compiling: /src/base/cba/cbaReadVer.c
[ 94%] ABC: `` Compiling: /src/base/cba/cbaWriteBlif.c
[ 94%] ABC: `` Compiling: /src/base/cba/cbaWriteVer.c
[ 94%] ABC: `` Compiling: /src/base/pla/plaCom.c
[ 94%] ABC: `` Compiling: /src/base/pla/plaHash.c
[ 94%] ABC: `` Compiling: /src/base/pla/plaMan.c
[ 94%] ABC: `` Compiling: /src/base/pla/plaMerge.c
[ 94%] ABC: `` Compiling: /src/base/pla/plaSimple.c
[ 94%] ABC: `` Compiling: /src/base/pla/plaRead.c
[ 94%] ABC: `` Compiling: /src/base/pla/plaWrite.c
[ 94%] ABC: `` Compiling: /src/base/test/test.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapper.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperCanon.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperCore.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperCreate.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperCut.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperCutUtils.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperLib.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperMatch.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperRefs.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperSuper.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperSwitch.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperTable.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperTime.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperTree.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperTruth.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperUtils.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperVec.c
[ 94%] ABC: `` Compiling: /src/map/mio/mio.c
[ 94%] ABC: `` Compiling: /src/map/mio/mioApi.c
[ 94%] ABC: `` Compiling: /src/map/mio/mioFunc.c
[ 94%] ABC: `` Compiling: /src/map/mio/mioParse.c
[ 94%] ABC: `` Compiling: /src/map/mio/mioRead.c
[ 94%] ABC: `` Compiling: /src/map/mio/mioSop.c
[ 94%] ABC: `` Compiling: /src/map/mio/mioUtils.c
[ 94%] ABC: `` Compiling: /src/map/super/super.c
[ 94%] ABC: `` Compiling: /src/map/super/superAnd.c
[ 94%] ABC: `` Compiling: /src/map/super/superGate.c
[ 94%] ABC: `` Compiling: /src/map/if/ifCom.c
[ 94%] ABC: `` Compiling: /src/map/if/ifCache.c
[ 94%] ABC: `` Compiling: /src/map/if/ifCore.c
[ 94%] ABC: `` Compiling: /src/map/if/ifCut.c
[ 94%] ABC: `` Compiling: /src/map/if/ifData2.c
[ 94%] ABC: `` Compiling: /src/map/if/ifDec07.c
[ 94%] ABC: `` Compiling: /src/map/if/ifDec08.c
[ 94%] ABC: `` Compiling: /src/map/if/ifDec10.c
[ 94%] ABC: `` Compiling: /src/map/if/ifDec16.c
[ 94%] ABC: `` Compiling: /src/map/if/ifDec75.c
[ 94%] ABC: `` Compiling: /src/map/if/ifDelay.c
[ 94%] ABC: `` Compiling: /src/map/if/ifDsd.c
[ 94%] ABC: `` Compiling: /src/map/if/ifLibBox.c
[ 94%] ABC: `` Compiling: /src/map/if/ifLibLut.c
[ 94%] ABC: `` Compiling: /src/map/if/ifMan.c
[ 94%] ABC: `` Compiling: /src/map/if/ifMap.c
[ 94%] ABC: `` Compiling: /src/map/if/ifMatch2.c
[ 94%] ABC: `` Compiling: /src/map/if/ifReduce.c
[ 94%] ABC: `` Compiling: /src/map/if/ifSat.c
[ 94%] ABC: `` Compiling: /src/map/if/ifSelect.c
[ 94%] ABC: `` Compiling: /src/map/if/ifSeq.c
[ 94%] ABC: `` Compiling: /src/map/if/ifTest.c
[ 94%] ABC: `` Compiling: /src/map/if/ifTime.c
[ 94%] ABC: `` Compiling: /src/map/if/ifTruth.c
[ 94%] ABC: `` Compiling: /src/map/if/ifTune.c
[ 94%] ABC: `` Compiling: /src/map/if/ifUtil.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapCore.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapGraph.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapLib.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapLiberty.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapMan.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapMatch.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapMerge.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapOutput.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapParse.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapPerm.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapRead.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapRule.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapUniq.c
[ 94%] ABC: `` Compiling: /src/map/cov/covBuild.c
[ 94%] ABC: `` Compiling: /src/map/cov/covCore.c
[ 94%] ABC: `` Compiling: /src/map/cov/covMan.c
[ 94%] ABC: `` Compiling: /src/map/cov/covMinEsop.c
[ 94%] ABC: `` Compiling: /src/map/cov/covMinMan.c
[ 94%] ABC: `` Compiling: /src/map/cov/covMinSop.c
[ 94%] ABC: `` Compiling: /src/map/cov/covMinUtil.c
[ 94%] ABC: `` Compiling: /src/map/scl/scl.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclBuffer.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclBufSize.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclDnsize.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclLiberty.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclLibScl.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclLibUtil.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclLoad.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclSize.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclUpsize.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclUtil.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmAbc.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmCore.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmDsd.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmGates.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmLib.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmMan.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmMap.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmMig.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmPre.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmTruth.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmUtil.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilBitMatrix.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilCanon.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilCfs.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilCube.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilDsd.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilEnum.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilFile.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilGen.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilMacc.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilMaj.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilMemory.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilMisc.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilMult.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilPath.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilPerm.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilProgress.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilReader.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilSupp.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilTruth.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilUtil.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcApi.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcCompare.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcContain.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcCover.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcCube.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcDivide.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcDivisor.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcList.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcLits.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcMan.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcOpAlg.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcOpBool.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcPrint.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcSort.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcUtils.c
[ 94%] ABC: `` Compiling: /src/misc/st/st.c
[ 94%] ABC: `` Compiling: /src/misc/st/stmm.c
[ 94%] ABC: `` Compiling: /src/misc/util/utilBridge.c
[ 94%] ABC: `` Compiling: /src/misc/util/utilCex.c
[ 94%] ABC: `` Compiling: /src/misc/util/utilColor.c
[ 94%] ABC: `` Compiling: /src/misc/util/utilFile.c
[ 94%] ABC: `` Compiling: /src/misc/util/utilIsop.c
[ 94%] ABC: `` Compiling: /src/misc/util/utilNam.c
[ 94%] ABC: `` Compiling: /src/misc/util/utilSignal.c
[ 94%] ABC: `` Compiling: /src/misc/util/utilSort.c
[ 94%] ABC: `` Compiling: /src/misc/nm/nmApi.c
[ 94%] ABC: `` Compiling: /src/misc/nm/nmTable.c
[ 94%] ABC: `` Compiling: /src/misc/tim/timBox.c
[ 94%] ABC: `` Compiling: /src/misc/tim/timDump.c
[ 94%] ABC: `` Compiling: /src/misc/tim/timMan.c
[ 94%] ABC: `` Compiling: /src/misc/tim/timTime.c
[ 94%] ABC: `` Compiling: /src/misc/tim/timTrav.c
[ 94%] ABC: `` Compiling: /src/misc/bzlib/blocksort.c
[ 94%] ABC: `` Compiling: /src/misc/bzlib/bzlib.c
[ 94%] ABC: `` Compiling: /src/misc/bzlib/compress.c
[ 94%] ABC: `` Compiling: /src/misc/bzlib/crctable.c
[ 94%] ABC: `` Compiling: /src/misc/bzlib/decompress.c
[ 94%] ABC: `` Compiling: /src/misc/bzlib/huffman.c
[ 94%] ABC: `` Compiling: /src/misc/bzlib/randtable.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/adler32.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/compress_.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/crc32.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/deflate.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/gzclose.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/gzlib.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/gzread.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/gzwrite.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/infback.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/inffast.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/inflate.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/inftrees.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/trees.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/uncompr.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/zutil.c
[ 94%] ABC: `` Compiling: /src/misc/mem/mem.c
[ 94%] ABC: `` Compiling: /src/misc/bar/bar.c
[ 94%] ABC: `` Compiling: /src/misc/bbl/bblif.c
[ 94%] ABC: `` Compiling: /src/misc/parse/parseEqn.c
[ 94%] ABC: `` Compiling: /src/misc/parse/parseStack.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutApi.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutCut.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutMan.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutMerge.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutNode.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutOracle.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutPre22.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutSeq.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutTruth.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxu.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuCreate.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuHeapD.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuHeapS.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuList.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuMatrix.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuPair.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuPrint.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuReduce.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuSelect.c
�[91msrc/opt/fxu/fxuReduce.c: In function 'Fxu_PreprocessCubePairs':
src/opt/fxu/fxuReduce.c:89:20: warning: argument 1 value '18446744073709551614' exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=]
     pnPairCounters = ABC_CALLOC( int, 2 * nBitsMax );
�[0m�[91mIn file included from ./src/base/abc/abc.h:30:0,
                 from src/opt/fxu/fxuReduce.c:19:
/usr/include/stdlib.h:467:14: note: in a call to allocation function 'calloc' declared here
 extern void *calloc (size_t __nmemb, size_t __size)
              ^~~~~~
�[0m[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuSingle.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuUpdate.c
[ 94%] ABC: `` Compiling: /src/opt/fxch/Fxch.c
[ 94%] ABC: `` Compiling: /src/opt/fxch/FxchDiv.c
[ 94%] ABC: `` Compiling: /src/opt/fxch/FxchMan.c
[ 94%] ABC: `` Compiling: /src/opt/fxch/FxchSCHashTable.c
[ 94%] ABC: `` Compiling: /src/opt/rwr/rwrDec.c
[ 94%] ABC: `` Compiling: /src/opt/rwr/rwrEva.c
[ 94%] ABC: `` Compiling: /src/opt/rwr/rwrExp.c
[ 94%] ABC: `` Compiling: /src/opt/rwr/rwrLib.c
[ 94%] ABC: `` Compiling: /src/opt/rwr/rwrMan.c
[ 94%] ABC: `` Compiling: /src/opt/rwr/rwrPrint.c
[ 94%] ABC: `` Compiling: /src/opt/rwr/rwrUtil.c
[ 94%] ABC: `` Compiling: /src/opt/mfs/mfsCore.c
[ 94%] ABC: `` Compiling: /src/opt/mfs/mfsDiv.c
[ 94%] ABC: `` Compiling: /src/opt/mfs/mfsInter.c
[ 94%] ABC: `` Compiling: /src/opt/mfs/mfsMan.c
[ 94%] ABC: `` Compiling: /src/opt/mfs/mfsResub.c
[ 94%] ABC: `` Compiling: /src/opt/mfs/mfsSat.c
[ 94%] ABC: `` Compiling: /src/opt/mfs/mfsStrash.c
[ 94%] ABC: `` Compiling: /src/opt/mfs/mfsWin.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simMan.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simSeq.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simSupp.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simSwitch.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simSym.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simSymSat.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simSymSim.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simSymStr.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simUtils.c
[ 94%] ABC: `` Compiling: /src/opt/ret/retArea.c
[ 94%] ABC: `` Compiling: /src/opt/ret/retCore.c
[ 94%] ABC: `` Compiling: /src/opt/ret/retDelay.c
[ 94%] ABC: `` Compiling: /src/opt/ret/retFlow.c
[ 94%] ABC: `` Compiling: /src/opt/ret/retIncrem.c
[ 94%] ABC: `` Compiling: /src/opt/ret/retInit.c
[ 94%] ABC: `` Compiling: /src/opt/ret/retLvalue.c
[ 94%] ABC: `` Compiling: /src/opt/fret/fretMain.c
[ 94%] ABC: `` Compiling: /src/opt/fret/fretFlow.c
[ 94%] ABC: `` Compiling: /src/opt/fret/fretInit.c
[ 94%] ABC: `` Compiling: /src/opt/fret/fretTime.c
[ 94%] ABC: `` Compiling: /src/opt/res/resCore.c
[ 94%] ABC: `` Compiling: /src/opt/res/resDivs.c
[ 94%] ABC: `` Compiling: /src/opt/res/resFilter.c
[ 94%] ABC: `` Compiling: /src/opt/res/resSat.c
[ 94%] ABC: `` Compiling: /src/opt/res/resSim.c
[ 94%] ABC: `` Compiling: /src/opt/res/resStrash.c
[ 94%] ABC: `` Compiling: /src/opt/res/resWin.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkCore.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkAbcDec.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkAbcMux.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkAbcDsd.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkAbcUtil.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkCut.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkMan.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkMap.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkMulti.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkMux.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkSets.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkAig.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkCheck.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkBidec.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkDfs.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkFanio.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkFlow.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkMan.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkMap.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkMerge.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkObj.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkSpeedup.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkStrash.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkTiming.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkUtil.c
[ 94%] ABC: `` Compiling: /src/opt/rwt/rwtDec.c
[ 94%] ABC: `` Compiling: /src/opt/rwt/rwtMan.c
[ 94%] ABC: `` Compiling: /src/opt/rwt/rwtUtil.c
[ 94%] ABC: `` Compiling: /src/opt/cgt/cgtAig.c
[ 94%] ABC: `` Compiling: /src/opt/cgt/cgtCore.c
[ 94%] ABC: `` Compiling: /src/opt/cgt/cgtDecide.c
[ 94%] ABC: `` Compiling: /src/opt/cgt/cgtMan.c
[ 94%] ABC: `` Compiling: /src/opt/cgt/cgtSat.c
[ 94%] ABC: `` Compiling: /src/opt/csw/cswCore.c
[ 94%] ABC: `` Compiling: /src/opt/csw/cswCut.c
[ 94%] ABC: `` Compiling: /src/opt/csw/cswMan.c
[ 94%] ABC: `` Compiling: /src/opt/csw/cswTable.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darBalance.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darCore.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darCut.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darData.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darLib.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darMan.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darPrec.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darRefact.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darScript.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauCanon.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauCore.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauCount.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauDivs.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauDsd.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauEnum.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauGia.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauMerge.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauNonDsd.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauNpn.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauNpn2.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauTree.c
[ 94%] ABC: `` Compiling: /src/opt/dsc/dsc.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmArea.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmCnf.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmCore.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmDec.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmLib.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmNtk.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmSat.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmTim.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmMit.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmWin.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbd.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbdCnf.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbdCore.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbdCut.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbdCut2.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbdLut.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbdPath.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbdSat.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbdWin.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satMem.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satInter.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satInterA.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satInterB.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satInterP.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satProof.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satSolver.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satSolver2.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satSolver2i.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satSolver3.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satStore.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satTrace.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satTruth.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satUtil.c
[ 94%] ABC: `` Compiling: /src/sat/xsat/xsatSolver.c
[ 94%] ABC: `` Compiling: /src/sat/xsat/xsatSolverAPI.c
[ 94%] ABC: `` Compiling: /src/sat/xsat/xsatCnfReader.c
[ 94%] ABC: `` Compiling: /src/sat/satoko/solver.c
[ 94%] ABC: `` Compiling: /src/sat/satoko/solver_api.c
[ 94%] ABC: `` Compiling: /src/sat/satoko/cnf_reader.c
[ 94%] ABC: `` Compiling: /src/sat/csat/csat_apis.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatActivity.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatClause.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatClauseVec.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatMem.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatOrderH.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatQueue.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatRead.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatSolverApi.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatSolverCore.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatSolverIo.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatSolverSearch.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatSort.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatVec.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfCore.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfCut.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfData.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfFast.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfMan.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfMap.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfPost.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfUtil.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfWrite.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBCore.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmc.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmc2.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmc3.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmcAnd.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmci.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmcG.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmcS.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexCare.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexCut.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexDepth.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexMin1.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexMin2.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexTools.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcChain.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcClp.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcEco.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcExpand.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcFault.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcFx.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcGen.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcICheck.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcInse.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcLoad.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMaj.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMaj2.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMaj3.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMaxi.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMesh.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMesh2.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMulti.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcUnroll.c
[ 94%] ABC: `` Compiling: /src/bool/bdc/bdcCore.c
[ 94%] ABC: `` Compiling: /src/bool/bdc/bdcDec.c
[ 94%] ABC: `` Compiling: /src/bool/bdc/bdcSpfd.c
[ 94%] ABC: `` Compiling: /src/bool/bdc/bdcTable.c
[ 94%] ABC: `` Compiling: /src/bool/dec/decAbc.c
[ 94%] ABC: `` Compiling: /src/bool/dec/decFactor.c
[ 94%] ABC: `` Compiling: /src/bool/dec/decMan.c
[ 94%] ABC: `` Compiling: /src/bool/dec/decPrint.c
[ 94%] ABC: `` Compiling: /src/bool/dec/decUtil.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitAig.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitBdd.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitCloud.c
[ 94%] ABC: `` Compiling: /src/bool/kit/cloud.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitDsd.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitFactor.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitGraph.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitHop.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitIsop.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitPla.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitSop.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitTruth.c
[ 94%] ABC: `` Compiling: /src/bool/lucky/lucky.c
[ 94%] ABC: `` Compiling: /src/bool/lucky/luckyFast16.c
[ 94%] ABC: `` Compiling: /src/bool/lucky/luckyFast6.c
[ 94%] ABC: `` Compiling: /src/bool/lucky/luckyRead.c
[ 94%] ABC: `` Compiling: /src/bool/lucky/luckySimple.c
[ 94%] ABC: `` Compiling: /src/bool/lucky/luckySwapIJ.c
[ 94%] ABC: `` Compiling: /src/bool/lucky/luckySwap.c
[ 94%] ABC: `` Compiling: /src/bool/rsb/rsbDec6.c
[ 94%] ABC: `` Compiling: /src/bool/rsb/rsbMan.c
[ 94%] ABC: `` Compiling: /src/bool/rpo/rpo.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrCnf.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrCore.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrIncr.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrInv.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrMan.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrSat.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrTsim.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrTsim2.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrTsim3.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrUtil.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absDup.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absGla.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absGlaOld.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absIter.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absOldCex.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absOldRef.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absOldSat.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absOldSim.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absOut.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absPth.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absRef.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absRefSelect.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absRpm.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absRpmOld.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absVta.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absUtil.c
[ 94%] ABC: `` Compiling: /src/proof/live/liveness.c
[ 94%] ABC: `` Compiling: /src/proof/live/liveness_sim.c
[ 94%] ABC: `` Compiling: /src/proof/live/ltl_parser.c
[ 94%] ABC: `` Compiling: /src/proof/live/kliveness.c
[ 94%] ABC: `` Compiling: /src/proof/live/monotone.c
[ 94%] ABC: `` Compiling: /src/proof/live/disjunctiveMonotone.c
[ 94%] ABC: `` Compiling: /src/proof/live/arenaViolation.c
[ 94%] ABC: `` Compiling: /src/proof/live/kLiveConstraints.c
[ 94%] ABC: `` Compiling: /src/proof/live/combination.c
[ 94%] ABC: `` Compiling: /src/proof/ssc/sscClass.c
[ 94%] ABC: `` Compiling: /src/proof/ssc/sscCore.c
[ 94%] ABC: `` Compiling: /src/proof/ssc/sscSat.c
[ 94%] ABC: `` Compiling: /src/proof/ssc/sscSim.c
[ 94%] ABC: `` Compiling: /src/proof/ssc/sscUtil.c
[ 94%] ABC: `` Compiling: /src/proof/int/intCheck.c
[ 94%] ABC: `` Compiling: /src/proof/int/intContain.c
[ 94%] ABC: `` Compiling: /src/proof/int/intCore.c
[ 94%] ABC: `` Compiling: /src/proof/int/intCtrex.c
[ 94%] ABC: `` Compiling: /src/proof/int/intDup.c
[ 94%] ABC: `` Compiling: /src/proof/int/intFrames.c
[ 94%] ABC: `` Compiling: /src/proof/int/intInter.c
[ 94%] ABC: `` Compiling: /src/proof/int/intM114.c
[ 94%] ABC: `` Compiling: /src/proof/int/intMan.c
[ 94%] ABC: `` Compiling: /src/proof/int/intUtil.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecCec.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecChoice.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecClass.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecCore.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecCorr.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecIso.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecMan.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecPat.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecSat.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecSatG.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecSatG2.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecSeq.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecSim.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecSolve.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecSolveG.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecSplit.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecSynth.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecSweep.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecCl.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecCore.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecCo.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecBo.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecRe.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecPa.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecPo.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecPool.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecCover.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecFadds.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecMult.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecNorm.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecOrder.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecPolyn.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecSt.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecTree.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecUtil.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acec2Mult.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecXor.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchAig.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchChoice.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchClass.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchCnf.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchCore.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchMan.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchSat.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchSim.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchSimSat.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchSweep.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigApi.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigCanon.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigFanout.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigFeed.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigMan.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigNode.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigMem.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigPrime.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigSat.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigTable.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigUtil.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigVec.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraBmc.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraCec.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraClass.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraClau.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraClaus.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraCnf.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraCore.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraHot.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraImp.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraInd.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraIndVer.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraLcr.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraMan.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraPart.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraSat.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraSec.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraSim.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswAig.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswBmc.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswClass.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswCnf.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswConstr.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswCore.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswDyn.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswFilter.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswIslands.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswLcorr.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswMan.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswPart.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswPairs.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswRarity.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswSat.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswSemi.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswSim.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswSimSat.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswSweep.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswUnique.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigCheck.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigCanon.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigCuts.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigDfs.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigDup.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigFanout.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigFrames.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigInter.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigJust.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigMan.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigMem.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigMffc.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigObj.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigOper.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigOrder.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigPack.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigPart.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigPartReg.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigPartSat.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigRepr.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigRet.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigRetF.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigScl.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigShow.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigSplit.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigTable.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigTiming.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigTruth.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigTsim.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigUtil.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigWin.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigCone.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigConstr.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigConstr2.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigDual.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigDup.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigInd.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigIoa.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigIso.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigIsoFast.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigIsoSlow.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigMiter.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigOutDec.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigPhase.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigRetFwd.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigRetMin.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigRetStep.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigScl.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigSimFast.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigSimMv.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigSimSeq.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigStrSim.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigSwitch.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigSynch.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigTempor.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigTrans.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigWnd.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaAig.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaAgi.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaAiger.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaAigerExt.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaBalAig.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaBalLut.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaBalMap.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaBidec.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCCof.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCex.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaClp.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCof.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCone.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCSatOld.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCSat.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCSat2.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCTas.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCut.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaDeep.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaDfs.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaDup.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaEdge.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaEmbed.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaEnable.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaEquiv.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaEra.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaEra2.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaEsop.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaExist.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaFalse.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaFanout.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaForce.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaFrames.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaFront.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaFx.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaGen.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaGig.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaGlitch.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaHash.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaIf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaIff.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaIiff.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaIso.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaIso2.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaIso3.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaJf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaKf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaLf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaMf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaMan.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaMem.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaMfs.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaMini.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaMinLut.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaMinLut2.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaMuxes.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaNf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaOf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaPack.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaPat.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaPf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaQbf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaResub.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaResub2.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaResub3.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaRetime.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaRex.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSatEdge.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSatLE.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSatLut.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSatMap.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSatoko.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSat3.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaScl.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaScript.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaShow.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaShrink.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaShrink6.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaShrink7.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSim.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSim2.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSim4.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSim5.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSimBase.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSort.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSpeedup.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSplit.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaStg.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaStr.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSupMin.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSupp.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSweep.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSweeper.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSwitch.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaTim.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaTis.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaTruth.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaTsim.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaUnate.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaUtil.c
[ 94%] ABC: `` Compiling: /src/aig/ioa/ioaReadAig.c
[ 94%] ABC: `` Compiling: /src/aig/ioa/ioaWriteAig.c
[ 94%] ABC: `` Compiling: /src/aig/ioa/ioaUtil.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyBalance.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyCanon.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyCheck.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyCut.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyCutTrav.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyDfs.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyDsd.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyFanout.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyFastMap.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyFraig.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyHaig.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyMan.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyMem.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyMulti.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyObj.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyOper.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyResyn.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyRwr.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivySeq.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyShow.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyTable.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyUtil.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopBalance.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopCheck.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopDfs.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopMan.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopMem.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopObj.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopOper.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopTable.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopTruth.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopUtil.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAPI.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddAbs.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddApply.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddFind.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddInv.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddIte.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddNeg.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddWalsh.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAndAbs.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAnneal.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddApa.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddApprox.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddBddAbs.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddBddCorr.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddBddIte.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddBridge.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddCache.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddCheck.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddClip.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddCof.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddCompose.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddDecomp.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddEssent.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddExact.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddExport.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddGenCof.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddGenetic.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddGroup.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddHarwell.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddInit.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddInteract.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddLCache.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddLevelQ.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddLinear.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddLiteral.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddMatMult.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddPriority.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddRead.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddRef.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddReorder.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSat.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSign.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSolve.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSplit.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSubsetHB.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSubsetSP.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSymmetry.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddTable.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddUtil.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddWindow.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddCount.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddFuncs.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddGroup.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddIsop.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddLin.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddMisc.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddPort.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddReord.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddSetop.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddSymm.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddUtil.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddAuto.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddCas.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddImage.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddKmap.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddMaxMin.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddMisc.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddSet.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddSymm.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddThresh.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddTime.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddUnate.c
[ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdApi.c
[ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdCheck.c
[ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdLocal.c
[ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdMan.c
[ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdProc.c
[ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdTree.c
[ 94%] ABC: `` Compiling: /src/bdd/epd/epd.c
[ 94%] ABC: `` Compiling: /src/bdd/mtr/mtrBasic.c
[ 94%] ABC: `` Compiling: /src/bdd/mtr/mtrGroup.c
[ 94%] ABC: `` Compiling: /src/bdd/reo/reoApi.c
[ 94%] ABC: `` Compiling: /src/bdd/reo/reoCore.c
[ 94%] ABC: `` Compiling: /src/bdd/reo/reoProfile.c
[ 94%] ABC: `` Compiling: /src/bdd/reo/reoShuffle.c
[ 94%] ABC: `` Compiling: /src/bdd/reo/reoSift.c
[ 94%] ABC: `` Compiling: /src/bdd/reo/reoSwap.c
[ 94%] ABC: `` Compiling: /src/bdd/reo/reoTransfer.c
[ 94%] ABC: `` Compiling: /src/bdd/reo/reoUnits.c
[ 94%] ABC: `` Compiling: /src/bdd/cas/casCore.c
[ 94%] ABC: `` Compiling: /src/bdd/cas/casDec.c
[ 94%] ABC: `` Compiling: /src/bdd/bbr/bbrCex.c
[ 94%] ABC: `` Compiling: /src/bdd/bbr/bbrImage.c
[ 94%] ABC: `` Compiling: /src/bdd/bbr/bbrNtbdd.c
[ 94%] ABC: `` Compiling: /src/bdd/bbr/bbrReach.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Cluster.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Constr.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Core.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Group.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Hint.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Man.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Matrix.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Pivot.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Reach.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Sched.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Bad.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Core.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Driver.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Dump.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Flow.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Image.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb3Image.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb3Nonlin.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb4Cex.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb4Image.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb4Nonlin.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb4Sweep.c
[ 94%] ABC: `` Building binary: abc-bafd2a7
The command '/bin/sh -c make PREFIX=/install CONFIG=gcc ABCREV=bafd2a7 ABCURL=https://github.com/berkeley-abc/abc install -j$(nproc)' returned a non-zero code: 2

DFFLEAGALIZE failed with ASAP7 technology

Describe the bug
A given verilog code passing DFFLEAGLIZE with sky130A/Nangate45 technology, but fail if I use ASAP7 technology.

  • [Toolname] for runtime issues yosys synthesis
  • [Design] for example design issues DFFLEGALIZE failed
    Error follows:
11. Executing TECHMAP pass (map to technology primitives).
11.1. Executing Verilog-2005 frontend: ./platforms/asap7/yoSys/cells_latch.v
11.2. Continuing TECHMAP pass.
12. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file).
12.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target).
ERROR: FF eth_shiftreg.$auto$simplemap.cc:559:simplemap_adffe_sdffe_sdffce$136 (type $_DFFE_PP0P_) cannot be legalized: dffs with async set or reset are not supported
Command exited with non-zero status 1
Elapsed time: 0:02.41[h:]min:sec. Average CPU: 98%. Peak memory: 135204KB.
make: *** [Makefile:366: results/asap7/eth_shiftreg/base/1_1_yosys.v] Error 1

Expected behavior
Need to pass synthesis stage with ASAP7

Environment (please complete the following information):
Please only report issues for supported OSs.

  • OS: Ubuntu 20.04
  • OpenROAD-flow commit : openroad v2.0-1465-gc0801e316

File Uploads
Attached eth_shiftreg with src and config file.
Update Makefile with below line:
DESIGN_CONFIG=./designs/asap7/eth_shiftreg/config.mk

eth_shiftreg.zip

Environment variable ABC_CLOCK_PERIOD_IN_PS in synthesis script

Setting environment variable ABC_CLOCK_PERIOD_IN_PS

I want to generate variant of synthesized files only (no placement and routing). I use make synth to generate the synthesized netlist and change 'clock period' in constraint.sdc file to generate a lot of variants. However, varying the clock period is generating the same synthesized netlist.

After looking into the synth.tcl script, I deduced that the variable ABC_CLOCK_PERIOD_IN_PS is responsible to synthesize netlist satisfying the timing constraint. However, I failed to find the relevant code where this environmental variable is set.

Can you help me how constraint.sdc file information may be used to set this variable?

Yosys does not comile on Ubuntu 21.10

Running ./build_openroad.sh --local fails on Ubuntu 21.10. The reason is that the version of yosys used by open road is outdated and does not compile.

Newer version of yosys (e.g. 0.10) compile on Ubuntu 21.10. Version 0.8 and older don't

Also, new features such as support for struct have been added to yosys, so upgrading would also make sense from that perspective.

A workaround:

  • Call ./build_openroad.sh --local, and wait until it fails
  • Checkout the newest version yosys in the tools directory.
  • In build_openroad.sh change ABCREV to default
  • Run ./build_openroad.sh -l --no_init --local

routing congestion too high error

Describe the bug
The flow fails for these designs - a serv processor design and a tinyRocket processor design (tinyRocket & serv_fr) with an error 'no ap for w_mask_in[0] terminate called after throwing an instance of 'std::runtime_error' ' during the detailed routing step.
They are using fakerams generated using bsg_fakeram generator as placeholders for their memories.
The logs of the run for these two designs are here:
https://github.com/bsg-idea/bsg_sky130_designs/tree/test_sky130/designs/serv_fr/logs
https://github.com/bsg-idea/bsg_sky130_designs/tree/test_sky130/designs/tinyRocket/logs

Expected behavior
Detailed Routing succeeding without 'no ap for ...' error

**Environment **

  • OS: Ubuntu 20.04
  • OpenROAD-flow v2.0-880-gd1c7001ad

File Uploads
Just have to place these folders in the 'designs/sky130hd/' directory and run it as usual for reproducing the issue:
https://github.com/bsg-idea/bsg_sky130_designs/tree/test_sky130/designs/tinyRocket
https://github.com/bsg-idea/bsg_sky130_designs/tree/test_sky130/designs/serv_fr

Additional context
The congestion issue does not seem to appear on designs without SRAM macros. Different pin widths, pin spacings, and sizes were tried (both large and small) for the SRAM macros but still, the issue persists.

@tspyrou @taylor-bsg

or_floorplan.tcl script has incorrect switch "-tracks" in it.

When running the openlane flow for design "spm" (and other designs). I see the following:

[ERROR STA-0402] initialize_floorplan -tracks is not a known keyword or flag.
Error: or_floorplan.tcl, 90 STA-0402
child process exited abnormally

The or_floorplan.tcl script has the following in it:
initialize_floorplan
-die_area $::env(DIE_AREA)
-core_area $::env(CORE_AREA)
-tracks $::env(TRACKS_INFO_FILE)
-site $::env(PLACE_SITE)

} else {

initialize_floorplan
-utilization $::env(FP_CORE_UTIL)
-aspect_ratio $::env(FP_ASPECT_RATIO)
-core_space "$bottom_margin $top_margin $left_margin $right_margin"
-tracks $::env(TRACKS_INFO_FILE)
-site $::env(PLACE_SITE)

However, the openroad floorplan tool does not have a "-tracks" switch:

% help initialize_floorplan
initialize_floorplan [-utilization util] [-aspect_ratio ratio]
[-core_space space | {bottom top left right}] [-die_area {lx ly ux uy}]
[-core_area {lx ly ux uy}] [-site site_name]

I did a complete clean download of the repo on July 22, 2021.

Add reporting of Fmax after only synthesis to Jenkins reports

Currently, the Jenkins metrics comparison per design shows the instance stdcell count as well as instance stdcell area. It would be great to also track the Fmax of the initial design produced by the scripts driving Yosys / ABC. This would mean adding a step in the flow (I think) that runs OpenSTA on the 1_synth.v netlist.

asap7 flow: make all seems to be broken

Dears,
I'm experimenting with the single script run_all.tcl.
The flow can be activated by a simple make all for what I've understood.

In case asap7 design flows are considered, make all stops after a while because merged.lib is missing.
To reproduce the issue, I've removed all the generated files from directories: results, logs, reports, and objects.
The issue could be easily fixed by adding to the all rule the $(DONT_USE_SC_LIB) :
so the following line in the flow Makefile
all: $(SDC_FILE) $(WRAPPED_LIBS) $(DONT_USE_LIBS) $(OBJECTS_DIR)/klayout.lyt $(WRAPPED_GDSOAS)
needs to be changed in:
all: $(SDC_FILE) $(WRAPPED_LIBS) $(DONT_USE_LIBS) $(DONT_USE_SC_LIB) $(OBJECTS_DIR)/klayout.lyt $(WRAPPED_GDSOAS)

Once you fix this issue, another issue comes out. It seems that fillcell.tcl script does not complete successfully with this error message:

Starting fill cell
[INFO DPL-0001] Placed 451916 filler instances.
[WARNING DPL-0005] Overlap check failed (451916).
[ERROR DPL-0033] detailed placement checks failed.
Error: fillcell.tcl, 21 DPL-0033
Command exited with non-zero status 1

The same fillcell.tcl step successfully completes when the default make command is used. I tried to investigate from where the issue came out, but I could not find any solution.

To reproduce the issue, just uncomment one of the asap7 designs. I did with DESIGN_CONFIG=./designs/asap7/aes/config.mk
and then give the command make all

LSOracle compilation terminated for readline/history.h

I'm using Ubuntu 20.04. Not able to build docker based openroad flow.

git clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts
cd OpenROAD-flow-scripts
./build_openroad.sh

Note: ./build_openroad.sh --local working fine.

Describe the bug

  • [Build] for compilation-related issues
    Scanning dependencies of target lsoracle
    [ 91%] Building CXX object core/CMakeFiles/lsoracle.dir/kahypar_config.cpp.o
    [ 94%] Building CXX object core/CMakeFiles/lsoracle.dir/lsoracle.cpp.o
    [ 97%] Building CXX object core/CMakeFiles/lsoracle.dir/kahypar_temp_config.cpp.o
    In file included from /LSOracle/lib/alice/include/alice/cli.hpp:46,
    from /LSOracle/lib/alice/include/alice/api.hpp:42,
    from /LSOracle/lib/alice/include/alice/alice.hpp:36,
    from /LSOracle/core/lsoracle.cpp:6:
    /LSOracle/lib/alice/include/alice/readline.hpp:47:10: fatal error: readline/history.h: No such file or directory
    #include <readline/history.h>
    ^~~~~~~~~~~~~~~~~~~~
    compilation terminated.

Expected behavior
Successful Docker based installation .

Environment (please complete the following information):
Please only report issues for supported OSs.

  • OS: Ubuntu 20.04
  • OpenROAD-flow commit: latest commit

Question in running yosys in the flow

I run "cd flow", "make".
It says it cannot find yosys,

$ make
[INFO][FLOW] Using platform directory ./platforms/nangate45
mkdir -p ./results/nangate45/gcd/base ./logs/nangate45/gcd/base ./reports/nangate45/gcd/base
(/usr/bin/time -f "%Eelapsed %PCPU %MmemKB" yosys -c scripts/synth.tcl) 2>&1 | tee ./logs/nangate45/gcd/base/1_1_yosys.log
/usr/bin/time: cannot run yosys: No such file or directory
make: *** [Makefile:254: results/nangate45/gcd/base/1_1_yosys.v] Error 127

but I test yosys under "OpenROAD-flow-scripts/tools/yosys" by ./yosys and it's fine.
I look at the Makefile under "/flow" and think it is stuck in this step:

$(RESULTS_DIR)/1_1_yosys.v: $(DONT_USE_LIBS) $(WRAPPED_LIBS) $(DONT_USE_SC_LIB) $(VERILOG_FILES)
	mkdir -p $(RESULTS_DIR) $(LOG_DIR) $(REPORTS_DIR)
	($(TIME_CMD) yosys -c $(SYNTH_SCRIPT)) 2>&1 | tee $(LOG_DIR)/1_1_yosys.log

I guess the problem may be due to the path of yosys. Do I need to add yosys to system path? How to do this?

[ERROR DRT-0073] No ap for wishbone.bd_ram.spram_32_256/din0[0]

Describe the bug
During detail routing am facing below issue

[INFO DRT-0165] Start pin access.
[INFO DRT-0076]   Complete 100 pins.
[INFO DRT-0076]   Complete 200 pins.
[INFO DRT-0076]   Complete 300 pins.
[INFO DRT-0076]   Complete 400 pins.
[INFO DRT-0076]   Complete 500 pins.
[INFO DRT-0076]   Complete 600 pins.
[INFO DRT-0076]   Complete 700 pins.
[ERROR DRT-0073] No ap for wishbone.bd_ram.spram_32_256/din0[0].
terminate called after throwing an instance of 'std::runtime_error'
  what():  DRT-0073
Elapsed time: 0:14.68[h:]min:sec. CPU time: user 28.59 sys 1.07 (201%). Peak memory: 1544204KB.
make: *** [results/asap7/ethwish/base/5_route.def] Error 6

I'm trying to use spram_32_256_asap7.lef, spram_32_256_asap7_TT_1p0V_25C.lib files as hard macro for eth_spram_256x32. LEF/LIB files I derived from nangate45 technology, as ASAP7 not yet supported by SRAM generator.

Expected behavior
Successfully complete flow.

Environment (please complete the following information):
Please only report issues for supported OSs.

  • OS: Ubuntu 20.04
  • OpenROAD-flow commit : v2.0-1978-gc1af9a878

File Uploads
Attached detail_route tar file detail_route_ethwish_asap7_base_2021-12-07_06-38
Size is more then 45MB. So given google drive access path. https://drive.google.com/drive/folders/1S4SNdLPshZroumiTbh-9-3wQuhSvUAkT

'Routing Congestion too high' error at Global Routing step

Describe the bug
The flow fails for this BlackParrot front-end design (bp_fe) at the Global Routing step with "Routing congestion too high" error. This issue is despite providing a large core area of 11020x8120um.
It is using fakerams generated using bsg_fakeram generator as placeholders for its memories.
The same routing congestion issue is noted on another BlackParrot design too which uses fakeram macros like this one.
The logs of the run for this design are placed here: https://github.com/bsg-idea/bsg_sky130_designs/tree/test_sky130/designs/bp_fe/logs

Expected behavior
Routing succeeding without routing congestion or violations.

Environment

  • OS: Ubuntu 20.04
  • OpenROAD-flow v2.0-880-gd1c7001ad

File Uploads
Just have to place this folder in the 'designs/sky130hd/' directory and run it as usual for reproducing the issue: https://github.com/bsg-idea/bsg_sky130_designs/tree/test_sky130/designs/bp_fe

Additional context
The congestion issue does not seem to appear on designs without SRAM macros. Different pin widths, pin spacings, and sizes were tried (both large and small) for the fakerams but still, the issue persists. bsg_cache_dma is a design with a fakeram for which the OpenROAD flow was successful.

@tspyrou @taylor-bsg

Can't find instance if use ABC_CLOCK_PERIOD_IN_PS for sky130hd chameleon

Describe the bug

  • [Toolname] for runtime issues (e.g. Macro placement [mpl]])
    For ORFS sky130hd chameleon design passes successfully all flow stages without
export ABC_CLOCK_PERIOD_IN_PS = 1000

If we use above variables failed to complete placement stage

Here is my findings:

Without ABC_CLOCK_PERIOD_IN_PS:
[INFO][FLOW-xxxx] Using manual macro placement file ./platforms/sky130hd/chameleon/chameleon.macro_placment.cfg
_c869220600000000_p_odb__dbBlock
1000
file7
LINE : _3252_ R0 200 150
LINE : _3253_ R0 1600 150
LINE : _3254_ R180 1289.845 3339.36
LINE : ahb_sys_0_uut.S0.CACHE R0 2100 2650
LINE : ibex_core R0  2150 1700
LINE : ahb_sys_0_uut.apb_sys_inst_0 R0 1470 2643
LINE : 
With ABC_CLOCK_PERIOD_IN_PS:
[INFO ODB-0134] Finished DEF file: ./results/sky130hd/chameleon/base/2_3_floorplan_tdms.def
[INFO][FLOW-xxxx] Using manual macro placement file ./platforms/sky130hd/chameleon/chameleon.macro_placment.cfg
_389be40600000000_p_odb__dbBlock
1000
file7
LINE : _3252_ R0 200 150
Error: macro_place.tcl, 145 Cannot find instance _3252_

There was issue with ord::get_db_block
Is there any fix to get right db_block?

Expected behavior
Should pass all stages of the ORFS flow.

[Flow] LSoracle integration appears incomplete

Describe the bug
When using the LSORACLE, there are 2 issues.

  1. lsoarcle in yosys is excepting some arguments, but the command inserted into the synth.tcl script does not include them so the it generates this message:
5. Executing LSOracle pass (MIG optimization using LSOracle).
checking arguments
Invalid number of arguments

Which does not describe the missing / required arguments what are invalid.

  1. When arguments are added to the lsoracle fails with the following message (this issue may belong in the LSoracle repo, but adding it here for now):
ERROR: LSOracle: execution of command "lsoracle -f /tmp/yosys-abc-c3g61p/lso.script 2>&1" failed: return code 255

Manually running that command seems to fail on:

Could not load context file at: /usr/local/share/lsoracle/test.ini

This seems to stem from an assumption that the test.ini file has been installed in a very particular location. This appears to be hardcoded in lsoracle and probably needs to be corrected.

Expected behavior
After running make synth USE_LSORACLE=1 I would except lsoracle to have be part of the synthesis.

Environment (please complete the following information):

  • OS: REHL7 and Ubuntu 20
  • OpenROAD-flow commit: 61afe66

Can't find object for defparam `EnableDataIntgGen`!

Hello! When I run "make synth" in the OpenROAD-flow-scripts/flow , I have the following error reported:

75.2.59. Executing AST frontend in derive mode using pre-parsed AST for module \tlul_adapter_reg'. /home/houpeijun/peijunh/opentitan_sverilog/prim_subreg.v:0: ERROR: Can't find object for defparam EnableDataIntgGen`!
make: *** [results/sky130hd/opentitan_soc/base/1_1_yosys.v] Error 1

While the parameter EnableDataIntgGen is neither used in module tlul_adapter_reg nor in prim_subreg.

So could you share some advice on what might be the possible reason for this error? Thanks!

Unable to create a local build on CentOS7

Describe the bug
I am unable to successfully complete a local build. After running the dependency installer with the -dev option, I then run "./build_openroad.sh --local" but run into an issue with CMAKE including pthreads. I am not familiar with CMAKE and unable to debug the problem further.

As an aside, I am able to successfully build from the OpenROAD repo.

Expected behavior
Successful local build.

Screenshots
.
.
.

make: Leaving directory `/home/pgutwin/OpenROAD-flow-scripts/tools/yosys'
-- The CXX compiler identification is GNU 4.8.5
-- Check for working CXX compiler: /bin/c++
-- Check for working CXX compiler: /bin/c++ -- works
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- OpenROAD version: 1
-- OpenROAD git describe: v2.0-880-gd1c7001
-- System name: Linux
-- Compiler: GNU 4.8.5
-- Build type: RELEASE
-- Install prefix: /home/pgutwin/OpenROAD-flow-scripts/tools/install/OpenROAD
-- TCL library: /usr/lib64/libtcl.so
-- TCL header: /usr/include/tcl.h
-- TCL readline library: /usr/lib64/libtclreadline.so
-- TCL readline header: /usr/include
-- Found SWIG: /bin/swig (found suitable version "4.0.1", minimum required is "3.0") 
-- Boost  found.
-- Found Python3: /usr/lib64/libpython3.6m.so (found version "3.6.8") found components:  Development 
-- Found ZLIB: /usr/lib64/libz.so (found version "1.2.7") 
-- Looking for C++ include pthread.h
CMake Error in /home/pgutwin/OpenROAD-flow-scripts/tools/OpenROAD/build/CMakeFiles/CMakeTmp/CMakeLists.txt:
  Target "cmTC_66fb0" requires the language dialect "CXX17" , but CMake does
  not know the compile flags to use to enable it.


CMake Error at /usr/local/share/cmake-3.14/Modules/CheckIncludeFileCXX.cmake:92 (try_compile):
  Failed to generate test project build system.
Call Stack (most recent call first):
  /usr/local/share/cmake-3.14/Modules/FindThreads.cmake:110 (CHECK_INCLUDE_FILE_CXX)
  /usr/local/lib64/cmake/spdlog/spdlogConfig.cmake:4 (find_package)
  src/CMakeLists.txt:107 (find_package)


-- Configuring incomplete, errors occurred!
See also "/home/pgutwin/OpenROAD-flow-scripts/tools/OpenROAD/build/CMakeFiles/CMakeOutput.log".
[pgutwin@usvmchalx1 OpenROAD-flow-scripts]$ 

Environment (please complete the following information):

  • OS: CentOS 7
  • OpenROAD-flow commit eceb503

Additional context
I am running CentOS on a virtual machine starting with a minimal CentOS7 installation.

Unable to build from sources using docker

Describe the bug
I'm trying to follow the steps mentioned in the OpenROAD documentation for Building from sources using docker option.

I was able to successfully follow the steps mentioned in the guide till Clone and Build option.
In the final step: Verify Installation
I'm get an unexpected output when I run the command:

sudo docker run -it -u $(id -u ${USER}):$(id -g ${USER}) -v $(pwd)/flow/platforms:/OpenROAD-flow-scripts/flow/platforms:ro openroad/flow-scripts

Terminal Output - After running the above command

akash@akash-lenovo:~/OpenROAD-flow-scripts$ sudo docker run -it -u $(id -u ${USER}):$(id -g ${USER}) -v $(pwd)/flow/platforms:/OpenROAD-flow-scripts/flow/platforms:ro openroad/flow-scripts
[sudo] password for akash: 
Unable to find image 'openroad/flow-scripts:latest' locally
docker: Error response from daemon: pull access denied for openroad/flow-scripts, repository does not exist or may require 'docker login': denied: requested access to the resource is denied.
See 'docker run --help'.
akash@akash-lenovo:~/OpenROAD-flow-scripts$ 

Screenshots
openroad_docker_terminal

Environment:

  • OS: Ubuntu 18.04.6
  • Docker: Version 20.10.8, build 3967b7d

SRAM macro not getting picked up by the flow

Describe the bug
The flow just doesn't seem to pick up the SRAM macro for this manycore tile compute mesh design (bsg_manycore_tile_compute_mesh_real).
It is hacking up and using an existing SRAM macro for the SRAM configurations that it requires.
In the log for the macro placement step, this message is noted - 'No macros found: Skipping macro_placement'.
The logs of the run are placed here: https://github.com/bsg-idea/bsg_sky130_designs/tree/test_sky130/designs/bsg_manycore_tile_compute_mesh_real/logs

Expected behavior
The SRAM macro getting picked up by the flow.

**Environment **

  • OS: Ubuntu 20.04
  • OpenROAD-flow v2.0-880-gd1c7001ad

File Uploads
Just have to place this folder in the 'designs/sky130hd/' directory and run it as usual for reproducing the issue: https://github.com/bsg-idea/bsg_sky130_designs/tree/test_sky130/designs/bsg_manycore_tile_compute_mesh_real

@tspyrou @taylor-bsg

Error while loading shared libraries: libtclreadline-2.1.0.so

I "git pull" openroad-flow-scripts and build it again.
When I "cd flow; make", I got error message in terminal outputs.
It is in "17. Executing Verilog backend." It says
"
openroad: error while loading shared libraries: libtclreadline-2.1.0.so: cannot open shared object file: No such file or directory
make: *** [results/nangate45/gcd/2_1_floorplan.def] Error 127
"

chameleon_hier struck at GRT stage

Describe the bug
chameleon_hier I'm trying to run in OpenRoad-flow-scripts using sky130hd tech and enabling DESIGN_CONFIG=./designs/sky130hd/chameleon_hier/config.mk in Makefile using make command in terminal.
But its got stop at global routing stage with below message:
[ERROR GRT-0118] Routing congestion too high.
Error: global_route.tcl, 42 GRT-0118

Its in-built example donot know why its stops with this error. Looking for the workaround.

Expected behavior
complete the flow without error

Environment (please complete the following information):
Please only report issues for supported OSs.

  • OS: CentOS 7
  • OpenROAD-flow version: v2.0-1020-g01461c345

File Uploads

[INFO GRT-0106] Layer assignment begins.
[INFO GRT-0107] Layer assignment finished.
[INFO GRT-0197] Via related to pin nodes: 15638
[INFO GRT-0198] Via related Steiner nodes: 315
[INFO GRT-0199] Via filling finished.
[INFO GRT-0111] Final number of vias: 21784
[INFO GRT-0112] Final usage 3D: 287715
[WARNING GRT-0211] dbGcellGrid already exists in db. Clearing existing dbGCellGrid.
[ERROR GRT-0118] Routing congestion too high.
Error: global_route.tcl, 42 GRT-0118
Elapsed time: 2:48.47[h:]min:sec. Average CPU: 99%. Peak memory: 2817924KB.
make: *** [Makefile:535: results/sky130hd/chameleon_hier/base/route.guide] Error 1

[yosys] ERROR: Abort in passes/techmap/extract_fa.cc

Describe the bug
When running the design 'riscv32i' for sky130hd like this

$ make DESIGN_CONFIG=designs/sky130hd/riscv32i/config.mk

then yosys fails with the following error message:

28.9. Finished OPT passes. (There is nothing left to do.)
29. Executing EXTRACT_FA pass (find and extract full/half adders).
ERROR: Abort in passes/techmap/extract_fa.cc:178.
Command exited with non-zero status 1

I used a locally built yosys using:

$  ./build_openroad.sh --local

Expected behavior
yosys should produce a synthesized netlist for riscv32i with the sky130 pdk

Environment (please complete the following information):
Please only report issues for supported OSs.

  • OS: Manjaro Linux
  • OpenROAD-flow commit affb7b4

Difference between "CELL_PAD_IN_SITES_GLOBAL_PLACEMENT", "CELL_PAD_IN_SITES_DETAIL_PLACEMENT"

Hello, I am writing to ask about the difference between this two commands: "CELL_PAD_IN_SITES_GLOBAL_PLACEMENT", and "CELL_PAD_IN_SITES_DETAIL_PLACEMENT"

This two commands are from ./OpenROAD-flow-scripts/flow/designs/$(platform)/$(design)/config.mk

I know they are to keep distance between standard cell but what is their exact difference? Like, are they just happen in different stages in APR?

I appreciate any help and advice, thanks!

Failed to run command 'klayout': No such file or directory

After running make, it meets Error when it run klayout -zz I guess.

(/usr/bin/time -f "%Eelapsed %PCPU %MmemKB" stdbuf -o L klayout -zz -rd design_name=gcd \
        -rd in_def=results/nangate45/gcd/base/6_final.def \
        -rd in_files="./platforms/nangate45/gds/NangateOpenCellLibrary.gds  " \
        -rd config_file= \
        -rd seal_file="" \
        -rd out_file=results/nangate45/gcd/base/6_1_merged.gds \
        -rd tech_file=./objects/nangate45/gcd/base/klayout.lyt \
        -rm ./util/def2stream.py) 2>&1 | tee ./logs/nangate45/gcd/base/6_1_merge.log
stdbuf: failed to run command ‘klayout’: No such file or directory
0:00.01elapsed 6%CPU 784memKB
make: *** [Makefile:523: results/nangate45/gcd/base/6_1_merged.gds] Error 127

How to check: Did I install klayout well? Did I set up environment for klayout correctly?
Thanks.

OpenRoad docker build error

Hi,
I am trying to do the docker build by executing ./build_openroad.sh.
However the build errors out with the following message:

g++: fatal error: Killed signal terminated program cc1plus
compilation terminated.
gmake[2]: *** [sec/OpenDB/src/lefin/CMakeFiles/lefin.dir/build.make:183: src/OpenDB/src/lefin/CMakeFiles/lefin.dir/lefTechLayerCutSpacingParser.cpp.o] Error 1
gmake[2]: *** Waiting for unfinished jobs....

How to resolve this?

make issue issues

The make issue target fails to include setRC.tcl

source $::env(PLATFORM_DIR)/setRC.tcl
[ERROR STA-0511] cannot open './platforms/asap7/setRC.tcl'.
Error: cts.tcl, 30 STA-0511

The TIME_CMD variable value is not portable and generates a syntax error
Error: vars-jpeg-asap7-base.tcl, 6 invalid command name "h:"

set env(TIME_CMD) "/usr/bin/time -f 'Elapsed time: %E[h:]min:sec. CPU time: user %U sys %S (%P). Peak memory: %MKB.'"

Prequisites/dependency handling

Info
OS Ubuntu 20.04 LTS
OpenROAD-flow-scripts version master (006e657)
Build option --local

Is your feature request related to a problem? Please describe.
Local installation using the build_openroad.sh script is more tedious than it has to be, if external dependencies (i.e. tcl-dev, libreadline-dev, ..) would either automatically be installed or listed in the README file. At the moment, the installation fails upon a missing dependency, and one has to re-run the script for each dependency (after installing it).

Describe the solution you'd like
I would integrate the dependency installation into the build_openroad.sh script. Alternatively, one could add it at least into the README description i.e.:

## prequisites (ubuntu 20.04)
sudo apt install tcl-dev git build-essential libreadline-dev libffi-dev swig libboost-all-dev libspdlog-dev libeigen3-dev mercurial klayout

hg clone http://lemon.cs.elte.hu/hg/lemon
cd lemon && mkdir build && cd build && cmake .. && make && make install && cd ../..

Examples

  • CMake errors due to missing packages (see prequisites list, i.e. tcl-dev, libreadline-dev, ...)
  • Missing KLayout issue arises during the flow execution:
    Cryptic message for missing KLayout ( $(KLAYOUT_CMD) variable is empty and therefore it triggers an error on the nested stdbuf tool). Furthermore, this should be handled by the build_openroad.sh script i.e. such that this script creates a fully functional eda toolflow environment.
andreas@andreas-vm:~/OpenROAD-flow-scripts/flow$ make
[INFO][FLOW] Using platform directory ./platforms/nangate45
(/usr/bin/time -f "%Eelapsed %PCPU %MmemKB" stdbuf -o L  -zz -rd design_name=gcd \
        -rd in_def=results/nangate45/gcd/base/6_final.def \
        -rd in_files="./platforms/nangate45/gds/NangateOpenCellLibrary.gds  " \
        -rd config_file= \
        -rd seal_file="" \
        -rd out_file=results/nangate45/gcd/base/6_1_merged.gds \
        -rd tech_file=./objects/nangate45/gcd/base/klayout.lyt \
        -rm ./util/def2stream.py) 2>&1 | tee ./logs/nangate45/gcd/base/6_1_merge.log
stdbuf: invalid option -- 'z'
Try 'stdbuf --help' for more information.
Command exited with non-zero status 125
0:00.00elapsed 0%CPU 2188memKB
make: *** [Makefile:614: results/nangate45/gcd/base/6_1_merged.gds] Error 125

Tracks.tcl documentation request

make_tracks M2 -x_offset [expr 0.009 * $multiplier] -x_pitch [expr 0.036 * $multiplier] -y_offset [expr (0.045 - 0.000) * $multiplier] -y_pitch [expr 0.270 * $multiplier]
make_tracks M2 -x_offset [expr 0.009 * $multiplier] -x_pitch [expr 0.036 * $multiplier] -y_offset [expr (0.081 - 0.000) * $multiplier] -y_pitch [expr 0.270 * $multiplier]
make_tracks M2 -x_offset [expr 0.009 * $multiplier] -x_pitch [expr 0.036 * $multiplier] -y_offset [expr (0.117 - 0.000) * $multiplier] -y_pitch [expr 0.270 * $multiplier]
make_tracks M2 -x_offset [expr 0.009 * $multiplier] -x_pitch [expr 0.036 * $multiplier] -y_offset [expr (0.153 - 0.000) * $multiplier] -y_pitch [expr 0.270 * $multiplier]
make_tracks M2 -x_offset [expr 0.009 * $multiplier] -x_pitch [expr 0.036 * $multiplier] -y_offset [expr (0.189 - 0.000) * $multiplier] -y_pitch [expr 0.270 * $multiplier]
make_tracks M2 -x_offset [expr 0.009 * $multiplier] -x_pitch [expr 0.036 * $multiplier] -y_offset [expr (0.225 - 0.000) * $multiplier] -y_pitch [expr 0.270 * $multiplier]
make_tracks M2 -x_offset [expr 0.009 * $multiplier] -x_pitch [expr 0.036 * $multiplier] -y_offset [expr (0.270 - 0.000) * $multiplier] -y_pitch [expr 0.270 * $multiplier]

In the above snippet for ASAP7 there are multiple track definitions for M2. What is the purpose of these repeat definitions? I also got a question inside Google about why the tracks need to be defined manually. Can this information be picked up from the techlef?

nangate45/tinyRocket does not place SRAMs

Describe the bug
[Design] [Flow]
When generating the tinyRocket sample design (make DESIGN_CONFIG=./designs/nangate45/tinyRocket/config.mk) SRAMs are not placed.
2_2_floorplan_io.log includes the following error messages:

[ERROR] Macro dcache.data.data_arrays_0.data_arrays_0_ext.mem is not placed
[ERROR] Macro frontend.icache.data_arrays_0.data_arrays_0_0_ext.mem is not placed

I also checked the final GDS and it does not include the dummy SRAMs.
I encountered this because I am trying to use OpenRAM generated SRAMs in combination with OpenROAD for Rocket.
After realizing that they were not included I checked the example design and observed the same behavior.
Expected behavior
SRAM macros should be placed

Environment (please complete the following information):
docker under linux mint - generated with current master (872b051)

Power Strip connections (met4 met5) not correctly mapped in GDS

Describe the bug

  • [Flow] Power stripes that were on met4 and met5 are mapped to layers cldntm.mask and rdlcon.drawing in GDS. There are also layers 5/0 and 236/0 that do not have mappings. The flow is running on the latest commit.

Expected behavior
The power stripes should be mapped onto met4 and met5 respectfully.

Screenshots
In OpenRoad -gui, the metals show up on met4 and met5:
image

In the GDS (opened in klayout and after loading the lyp), the layers are incorrectly mapped:
image

Environment

  • OS: CentOS7
  • OpenROAD-flow commit d4e6903

File Uploads
orfs_sky130hd_gcd.zip

clean up fakeram liberty/lef libraries

I do not know how the fakeram liberty files were generated but they have a number of significant errors that should be
corrected. It may be easier to track down the script that wrote them an rerun it with reasonable inputs than to fix the
existing libraries.

There are duplicate copies of the liberty and lef files for the nangate45 fakerams.
For example:
./designs/nangate45/black_parrot/fakeram45_64x7.lib
./designs/nangate45/black_parrot/fakeram45_64x7.lef
./designs/nangate45/bp_multi_top/fakeram45_64x7.lib
./designs/nangate45/bp_multi_top/fakeram45_64x7.lef
./designs/nangate45/bp_fe_top/fakeram45_64x7.lib
./designs/nangate45/bp_fe_top/fakeram45_64x7.lef

There is no reason to have duplicates. It only complicate fixing problems with them.
All of the the fakeram libraries should be moved to platform/nanagate45/lib|lef so they can be shared.


The rise/fall transitions are completely unrealistic:
rise_transition(fakeram45_64x7_mem_out_slew_template) {
index_1 ("0.000, 0.002");
values ("0.05, 1.00")
}
fall_transition(fakeram45_64x7_mem_out_slew_template) {
index_1 ("0.000, 0.002");
values ("0.05, 1.00")
}

This is equivalent to a 500kohm drive resistance, which makes it impossible to connect the ram to anything without
getting a max slew violation.
They should be replaced by the rise/fall_transition section for the output of the nangate45 std cell library BUF_X1 cell.
This will also require copying the axis templates.


Input capacitances are zero, which is completely unrealistic.
Again, copy the values from the BUF_X1 input.

pin(clk)   {
    capacitance : 0.000;

pin(we_in){
    capacitance : 0.000;

pin(ce_in){
    capacitance : 0.000;

bus(addr_in)   {
    capacitance : 0.000;

bus(wd_in)   {
    capacitance : 0.000;

bus(w_mask_in)   {
    capacitance : 0.000;

The capacitance units in fakerams are pf but the nangate45 library std cells are ff.
The fakerams should be using the same units.

error in running a synthesis with make

Issue

I am trying to run a basic synthesis with by doing make from the command line and I am getting this error.

[INFO][FLOW] Using platform directory ./platforms/nangate45
(/usr/bin/time -f 'Elapsed time: %E[h:]min:sec. CPU time: user %U sys %S (%P). Peak memory: %MKB.' stdbuf -o L  -zz -rd design_name=RocketTile \
        -rd in_def=results/nangate45/tinyRocket/base/6_final.def \
        -rd in_files="./platforms/nangate45/gds/NangateOpenCellLibrary.gds  " \
        -rd config_file= \
        -rd seal_file="" \
        -rd out_file=results/nangate45/tinyRocket/base/6_1_merged.gds \
        -rd tech_file=./objects/nangate45/tinyRocket/base/klayout.lyt \
        -rm ./util/def2stream.py) 2>&1 | tee ./logs/nangate45/tinyRocket/base/6_1_merge.log
stdbuf: invalid option -- 'z'
Try 'stdbuf --help' for more information.
Command exited with non-zero status 125
Elapsed time: 0:00.00[h:]min:sec. CPU time: user 0.00 sys 0.00 (100%). Peak memory: 2088KB.
make: *** [Makefile:626: results/nangate45/tinyRocket/base/6_1_merged.gds] Error 125

Does anyone know how to fix this?

Steps

./build_openroad.sh
./setup_env.sh

# uncomment a DESIGN_CONFIG in the ~/OpenROAD-flow-scripts/flow/Makefile

make

locally build issue

I have successfully built openroad-flow-scripts before. This time, I forked it to my own repo and made some modifications. And I used git clone --recursive to download it to another directory than the previous successfully built one.
When I run ./build_openroad.sh --local, it just reach [89%] and get an error.

[ 89%] Built target PartitionMgr
make[2]: Leaving directory '/home/rayncc/my_opr/OpenROAD-flow-scripts/tools/build/OpenROAD'
[ 89%] Built target psm
make[1]: Leaving directory '/home/rayncc/my_opr/OpenROAD-flow-scripts/tools/build/OpenROAD'
make: *** [Makefile:133: all] Error 2

Could anyone help me? Thanks.

GDS generated with unmapped layers in klayout for sky130hd

Describe the bug

  • [Flow] The flow script can successfully generate the results (including the gds) with all the routings. But when the gds is imported into klayout, the metal layers (e.g. met2 drawing) become empty with the lyp file loaded. Screenshots for klayout before and after loading the lyp file is shown below.

Expected behavior

  • Routings are correctly mapped to the different metal drawing layers.

Screenshots

  • Before loading lyp
    image

  • After loading lyp (with "Add other layer entries" and "Hide empty layers" selected)

    • Several layers only have the "layer/datatype" name
      image

Environment (please complete the following information):

  • OS: CentOS Linux 7
  • OpenROAD-flow commit 1a27533

File Uploads
gcd_sky130hd_base_2022-01-01_17-44.tar.gz

ABC_AREA Synthesis strategies not optimizing the final area

Describe the bug

  • [Toolname] for runtime issues (Yosys ABC)
  • [Design] for example design issues (ibex design)

Expected behavior
As per ABC_AREA synthesis strategies should generate better area optimization compare to ABC_SPEED. But for ibex design number of cells and area increased with ABC_AREA. Expecting better area optimization by flow.

Environment (please complete the following information):
Please only report issues for supported OSs.

  • OS: [e.g. CentOS 7]
  • OpenROAD-flow commit : v2.0-2318-gfed16d7ea

File Uploads
In Makefile enable DESIGN_CONFIG=./designs/sky130hd/ibex/config.mk.
Updated with ABC_AREA strategies and final Design area 194569 u^2 27% utilization.
ABC_AREA_design_area.txt
Synthesis statistics as follows:
AREA_synth_stat.txt
Short view:

 Number of wires:              23916
   Number of wire bits:          24150
   Number of public wires:        2009
   Number of public wire bits:    2243
   Number of memories:               0
   Number of memory bits:            0
   Number of processes:              0
   Number of cells:              23992
Chip area for module '\ibex_core': 146625.625600

Updated ABC_SPEED (Default) strategies and final Design area 189641 u^2 28% utilization
ABC_SPEED_design_area.txt
Synthesis Statistics as follows:
SPEED_synth_stat.txt
Short view:


   Number of wires:              15757
   Number of wire bits:          15991
   Number of public wires:        2009
   Number of public wire bits:    2243
   Number of memories:               0
   Number of memory bits:            0
   Number of processes:              0
   Number of cells:              15833
Chip area for module '\ibex_core': 136121.801600

Flow not able to pass global placement stage

Describe the bug
I'm trying to run dcache module with latest OR commit but failing at global placement. 3_1_place_gp.log with below message.

[INFO GRT-0017] Processing 335 blockages on layer met4.
[INFO GRT-0017] Processing 334 blockages on layer met5.

[INFO GRT-0053] Routing resources analysis:
Routing Original Derated Resource
Layer Direction Resources Resources Reduction (%)

li1 Vertical 0 0 0.00%
met1 Horizontal 8778120 4098612 53.31%
met2 Vertical 6583590 3948168 40.03%
met3 Horizontal 4389060 2636068 39.94%
met4 Vertical 2633436 1318042 49.95%
met5 Horizontal 877812 438904 50.00%

Command terminated by signal 9
Elapsed time: 1:26:30[h:]min:sec. Average CPU: 98%. Peak memory: 14908768KB.

How to Run:
Update below line in Makefile and do make:
DESIGN_CONFIG=./designs/sky130hd/dcache/config.mk

Expected behavior
Need to generate GDSII with successful complete

Environment (please complete the following information):
Please only report issues for supported OSs.

  • OS: Ubuntu 20.04
  • OpenROAD-flow commit: Latest OR commit v2.0-1256-ga7fe7aa9c

File Uploads**
Attached src file and other logs
dcache.zip

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.