Coder Social home page Coder Social logo

lerwys / bpm-sw-old-backup Goto Github PK

View Code? Open in Web Editor NEW
3.0 3.0 3.0 14.49 MB

Main repository for the BPM firmware and software

License: GNU Lesser General Public License v3.0

Assembly 0.12% C 8.69% VHDL 62.20% C++ 0.53% Python 0.06% Verilog 26.65% Shell 0.03% SystemVerilog 0.90% Fortran 0.02% Tcl 0.13% Stata 0.68%

bpm-sw-old-backup's Introduction

bpm-sw-old-backup's People

Contributors

abyszuk avatar lerwys avatar

Stargazers

 avatar  avatar  avatar

Watchers

 avatar  avatar  avatar

bpm-sw-old-backup's Issues

[ddr3-sdram] phy_init_done never asserted

While perfomring simulation with DDR3 Xilinx Controller and DDR3 model the
"phy_init_done" signal from the controller is never asserted. Thus, causing
the simulation to hang forever

Replace IBUFGDS to IBUFDS

These are the same primitive, but the former instructs the mapper to use only global clock nets
(GCLK pins). BUT, as we know, we need to use non global clock nets in order to use BUFIO/BUFR primitives.

This possibly causes the error:

"ERROR:Place:1119 - The I/O components "adc_clk1_p_i" and "adc_clk1_n_i" are the
P- and N-sides of a differential I/O pair. The component "adc_clk1_p_i"
needs to be placed in a IOBM site and component "adc_clk1_n_i" in the
adjacent IOBS site within the same I/O tile. The following issue has been
detected:
Some of the logic associated with this structure is locked. This should cause
the rest of the logic to be locked. A problem was found at site BUFR_X0Y3
where we must place IOB adc_clk1_n_i in order to satisfy the relative
placement requirements of this logic. It is not legal to place this component
in this site. "

[ethernet/ebone] Implement mux for ethernet packages?

Would it be viable to implement a mux in order to separate between etherbone packages and
other ones?

This is done for the white rabbit design. Specifically for the wr-core in which etherone packages
are redirect to etherbone and other messages to the rest of the FPGA fabric.

Study this possibility

Implement ADC Reset and Reset Clk Div

There is a need to reset the ADCs and the clock output clock reset (for synchronization of
multiple ADC chips).

Also, they need to be software controllable.

[pcie-core] Fix missing signal

We should always test our designs before committing it!

/home/lerwys/Repos/bpm-sw-test-pcie/hdl/top/pcie/top_ml605.vhd" Line 183: Formal port/generic <rst_act_low> is not declared in <bpm_pcie_ml605>

Insert CLOCK_DEDICATED_ROUTE=FALSE constraint to .ucf

"The CLOCK_DEDICATED_ROUTE=FALSE workaround is typically for source clocks which are not assigned to global clock input pins -- a completely different problem."

This could be the issue related to driving clock buffers from non GCLK pins.

Make wb_stream more generic

It would be better if the wishbone streaming interface could be more generic,
allowing data sizes of 16, 32, 64 or 128 bits wide. Moreover, the xwb_source and
xwb_sink modules wouls have to be modified.

[wb_acq_core] Add multiple "simultaneous" acquisition paths

There is a need to have multiple acquisition paths acquiring data simultaneous,
in a multiplexed way.

This is the traditional use case for our case, in that 1 AFC handles 2 BPMs signals.
So, at least we must decouple the acquisition paths from the 2 different BPMs

[soon-to-be fmc-port] Add g_with_bufio and g_with_bufr

This will allow selecting if we want the incoming clock to be routed to BUFIO and/or BUFR primitive. This effectively will clock an IDDR primitive with BUFIO and local FPGA logic with BUFR. Later, all data will be synch'ed to a global reference clock.

Cauting is taken to ensure safe passage from one clock domain to another with Async FIFOs

Reorganize the dbe_bpm_fmc516 top files

There is a mix in this folder, as this synthesis test is not under development yet!
I think the best way to correct this is to create the synth test in the wb-fmc516-devel branch
and leave it untouched in the other ones.

[fmc516-sw] Support for multiple fmc516 cores (and boards...)

Implement a generic structure to support multiple instances of the fmc516 core, for example.

A simple way would be to create a generic identification structure in which the drive functions would poll in order to determine the correct core (which SPI, I2C, etcc) core to act

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.