Coder Social home page Coder Social logo

Tingyuan LIANG's Projects

abc icon abc

ABC: System for Sequential Logic Synthesis and Formal Verification

amf-placer icon amf-placer

AMF-Placer 2.0: An open-source timing-driven analytical mixed-size FPGA placer of heterogeneous resources (LUT/FF/LUTRAM/MUX/CARRY/DSP/BRAM...)

astran icon astran

ASTRAN - Automatic Synthesis of Transistor Networks

autobridge icon autobridge

[FPGA 2021, Best Paper Award] An automated floorplanning and pipelining tool for Vivado HLS.

autocelllibx icon autocelllibx

AutoCellLibX: Automated Standard Cell Library Extension Based on Pattern Mining

barrier-method-lasso icon barrier-method-lasso

This project, based on MATLAB, is an implementation of barrier method to solve LASSO problem. The barrier method is designed with centering step based on newton method.

basic-simd-processor-verilog-tutorial icon basic-simd-processor-verilog-tutorial

Implementation of a simple SIMD processor in Verilog, core of which is a 16-bit SIMD ALU. 2's compliment calculations are implemented in this ALU. The ALU operation will take two clocks. The first clock cycle will be used to load values into the registers. The second will be for performing the operations. 6-bit opcodes are used to select the functions. The instruction code, including the opcode, will be 18-bit.

biteopt icon biteopt

Derivative-Free Optimization Method

bsg_manycore icon bsg_manycore

Tile based architecture designed for computing efficiency, scalability and generality

catch2 icon catch2

A modern, C++-native, test framework for unit-tests, TDD and BDD - using C++14, C++17 and later (C++11 support is in v2.x branch, and C++03 on the Catch1.x branch)

chipyard icon chipyard

An Agile RISC-V SoC Design Framework with in-order cores, out-of-order cores, accelerators, and more

circt icon circt

Circuit IR Compilers and Tools

cloc-action icon cloc-action

GitHub Action to Count Lines of Code with https://github.com/AlDanial/cloc

comba icon comba

A Comprehensive Model-Based Analysis Framework for High Level Synthesis of Real Applications

corundum icon corundum

Open source, high performance, FPGA-based NIC

cva6 icon cva6

The CORE-V CVA6 is an Application class 6-stage RISC-V CPU capable of booting Linux

dl_accelerator icon dl_accelerator

Deep Learning Accelerator Based on Eyeriss V2 Architecture with custom RISC-V extended instructions

docker-wechat icon docker-wechat

DoChat is a Dockerized WeChat (盒装微信) PC Windows Client for Linux

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.