Coder Social home page Coder Social logo

setup-oss-cad-suite's People

Contributors

jackkoenig avatar lethalbit avatar mmicko avatar

Stargazers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

Watchers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

Forkers

mfkiwl lethalbit

setup-oss-cad-suite's Issues

flakyness

I really love your OSS CAD tool builds and the Chisel community has come to rely on them. Unfortunately the github action to set them up has been very flaky, especially on MacOS, but also on Linux lately. We ended up replacing it with our own action: chipsalliance/chisel#2901

Just wanted to let you know in case this is useful for improving your Github action. Thank you so much for providing the binaries!

Missing creation of symlink /yosyshq after installation via github action

The should be done on a best-effort basis (as in not fail the action/workflow due to not being able to ensure symlink is setup, it should report it was unable to create the symlink in a message back to the user to help with diagnostics, but not really alarm the user too much over, as many thing will still work).

# || true to counter any 'set -e' failure mode
[ -e /yosyshq ] || sudo ln -s $RUNNER_TEMP/oss-cad-suite /yosyshq || true

if [ ! -e /yosyshq ]; then
  echo "WARNING: Unable to ensure setup of symlink /yosyshq, some things may not work as well as expected.  ln -s  $RUNNER_TEMP/oss-cad-suite /yosyshq" 1>&2
fi

Note the use of 'sudo' to write into the / directory from a GHA workflow.

Feel free to make a better more informative message, explaining what was trying to be done,


Why is this needed?

Some things inside oss-cad-suite have a compiled-in paths (such as the Python interpreters) that work as-is if their expected installation path is found. All the user needs to do is source $OSS_CAD_HOME/environment and then use Python binary from oss-cad-suite.

Another solution is for the user to export PYTHONHOME=$RUNNER_TEMP/oss-cad-suite which has the same effect but uses the envvar to override the compiled in location /yosyshq/lib/python3.8. However this must be done after source $OSS_CAD_HOME/environment because the script oss-cad-suite provide appears to blank out the existing value.

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.