Coder Social home page Coder Social logo

vcvrack / audibleinstruments Goto Github PK

View Code? Open in Web Editor NEW
379.0 379.0 84.0 7.52 MB

VCV Rack plugin based on Mutable Instruments Eurorack modules

Home Page: https://vcvrack.com/AudibleInstruments.html

License: Other

Makefile 0.99% C++ 97.43% Python 1.58%
vcvrack

audibleinstruments's People

Contributors

andrewbelt avatar cschol avatar eggix avatar evmaki avatar falktx avatar float32 avatar hemmer avatar kautenja avatar mhetrick avatar pgatt avatar rcomian avatar

Stargazers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

Watchers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

audibleinstruments's Issues

Peaks build error

Fedora 23 Linux
Rack master (latest pull)

g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0 -MMD -MP -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_LIN -c -o build/src/Peaks.cpp.o src/Peaks.cpp
src/Peaks.cpp: In member function ‘void Peaks::refreshLeds()’:
src/Peaks.cpp:567:39: error: invalid operands of types ‘__gnu_cxx::__enable_if<true, double>::__type {aka double}’ and ‘int’ to binary ‘operator>>’
    b[i] = std::abs(gBrightness[i]) >> 8;
                                       ^
../../compile.mk:57: recipe for target 'build/src/Peaks.cpp.o' failed
make: *** [build/src/Peaks.cpp.o] Error 1

Source code for 0.6.0?

Hi, where's the source for 0.6.0?
from master branch, having compile errors against 0.6.0dev.
TIA.

Frames data saving

I just had a long session with the wonderfull Frames module. I only noted that after saving and loading my patch again, Frames did not recall all programmed frames.
Are there plans to dump the frame data into the json segment of Frames? That would be so great!

Thanks,
Martin

(Clouds) Texture Synthesizer -- Reverb only applied to granulated audio

Hello,

I would like to point out an issue with the Audible instruments clone of Clouds:

In the actual, physical Clouds module, the reverb is applied to raw incoming audio AND granulated audio, allowing the user to use Clouds solely as a reverb effect if desired.

In the VCV clone, the reverb is only applied to the granulated audio, which means the reverb is not audible at all if the granulator Wet/Dry blend is set to 0.

Is this fixable?

Kinks fails to compile with latest SchmittTrigger changes

Revision: e0b3fbd

g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.5.0 -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/src/Kinks.cpp.o src/Kinks.cpp
src/Kinks.cpp: In constructor 'Kinks::Kinks()':
src/Kinks.cpp:38:11: error: 'struct rack::SchmittTrigger' has no member named 'setThresholds'
   trigger.setThresholds(0.0, 0.7);
           ^~~~~~~~~~~~~
make: *** [../../compile.mk:57: build/src/Kinks.cpp.o] Error 1

Questions re: LEDs, blend selection, and load/save in latest Clouds (texture synth)

Linux Fedora 23
Rack dev and v0.4.0

The behavior of the Clouds module as described in the MI manual seems different or incomplete in the latest Audible Instruments release. I understand how the Blend selector is working with the Blend knob - very cool implementation, btw - but the green indicator lights are not functioning as described in the manual. Also, is the load/save button functional ? Please advise if I'm missing something in the port, or it's merely incomplete.

Thanks a million for porting the MI modules, they are fantastic resources.

Braids not building on Linux

commit 2025f3f

In file included from src/Braids.cpp:6:0:
./eurorack/braids/signature_waveshaper.h: In member function ‘void braids::SignatureWaveshaper::Init(uint32_t)’:
./eurorack/braids/signature_waveshaper.h:68:46: error: invalid operands of types ‘__gnu_cxx::__enable_if<true, double>::__type {aka double}’ and ‘int’ to binary ‘operator>>’
(8192 + (sigmoid_strength * abs(x) >> 5));
~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~
../../compile.mk:49: recipe for target 'build/src/Braids.cpp.o' failed
make: *** [build/src/Braids.cpp.o] Error 1

latest Tides crashing latest Rack

Linux Fedora 23
dev Rack

When selecting Tidal Modulator in menu:

Program received signal SIGSEGV, Segmentation fault.
0x000000000047bf6f in std::__cxx11::basic_string<char, std::char_traits, std::allocator >::_Alloc_hider::_Alloc_hider (__a=...,
__dat=, this=) at /usr/include/c++/5.3.1/bits/basic_string.h:109
109 : allocator_type(__a), _M_p(__dat) { }
...
(gdb) bt
#0 0x000000000047bf6f in rack::MetadataMenu::step() (__a=..., __dat=, this=) at /usr/include/c++/5.3.1/bits/basic_string.h:109
#1 0x000000000047bf6f in rack::MetadataMenu::step() (__str=..., this=0x7fffffffd680) at /usr/include/c++/5.3.1/bits/basic_string.h:399
#2 0x000000000047bf6f in rack::MetadataMenu::step() (this=0xda0130) at src/app/AddModuleWindow.cpp:58
#3 0x000000000047400d in rack::Widget::step() (this=0xc48a30) at src/widgets/Widget.cpp:105
#4 0x000000000047400d in rack::Widget::step() (this=0xda01b8) at src/widgets/Widget.cpp:105
#5 0x000000000047400d in rack::Widget::step() (this=0xb32520) at src/widgets/Widget.cpp:105
#6 0x000000000047400d in rack::Widget::step() (this=0xb2ad68) at src/widgets/Widget.cpp:105
#7 0x000000000046f4a5 in rack::MenuOverlay::step() (this=0xb2ad60) at src/widgets/MenuOverlay.cpp:8
#8 0x000000000047400d in rack::Widget::step() (this=0xb91820) at src/widgets/Widget.cpp:105
#9 0x000000000047689b in rack::RackScene::step() (this=0xb91800) at src/app/RackScene.cpp:65
#10 0x00000000004493ba in rack::guiRun() () at src/gui.cpp:433
#11 0x0000000000432a8c in main(int, char**) (argc=1, argv=0x7fffffffda68) at src/main.cpp:48

Tides & Sheep frequency doesn't change

On Mac 10.12, latest dev:

-Turning the frequency knob on Tides or Sheep does not seem to affect the output.
-In Sheep mode, I can no longer change the wave bank.
-In Tides mode, I cannot seem to change the behavior mode (AD/AHD/Loop).

Low resolution module layouts

Hello,

As you can see, the modules below are in different resolution. I only tested in macOS. I have mac book pro with retina display and I guess that causes the problem.

Do you plan to upload svg or high resolution png files for the modules? or is there any possible solution for this issue?

vcrack_low_res

Error when building - deleting is not helping

g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -DSLUG=AudibleInstruments -fPIC -I../../include -I../../dep/include -DVERSION=0.6.0 -MMD -MP -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/src/Clouds.cpp.o src/Clouds.cpp
src/Clouds.cpp:7:10: fatal error: clouds/dsp/granular_processor.h: No such file or directory
 #include "clouds/dsp/granular_processor.h"
          ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
compilation terminated.
make: *** [../../compile.mk:62: build/src/Clouds.cpp.o] Fehler 1

rings/dsp/part.h missing?

a directory "rings" seems to be missing.
or am I missing something.
cloned git into Rack/plugins folder and executed make:

g++ -fshort-enums -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DVERSION=dev -MMD -O3 -march=core2 -ffast-math -g -Wall -DARCH_LIN -std=c++11 -c -o build/src/Rings.cpp.o src/Rings.cpp
src/Rings.cpp:4:28: fatal error: rings/dsp/part.h: No such file or directory
 #include "rings/dsp/part.h"

2025f3f Not compiling on Linux

For a clean build of all VCVRack and plugins, AudibleInstruments 2025f3f fails during compile. The last commit to build was ad9fe26.

Error

g++ -fshort-enums -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DVERSION=dev -MMD -O3 -march=nocona -ffast-math -g -Wall -DARCH_LIN -std=c++11 -c -o build/src/Links.cpp.o src/Links.cpp
In file included from src/Braids.cpp:6:0:
./eurorack/braids/signature_waveshaper.h: In member function ‘void braids::SignatureWaveshaper::Init(uint32_t)’:
./eurorack/braids/signature_waveshaper.h:68:46: error: invalid operands of types ‘__gnu_cxx::__enable_if<true, double>::__type {aka double}’ and ‘int’ to binary ‘operator>>’
           (8192 + (sigmoid_strength * abs(x) >> 5));
                    ~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~
../../compile.mk:49: recipe for target 'build/src/Braids.cpp.o' failed

Environment:
GNU Make: 4.1
gcc version 6.3.1
cmake version 3.9.0
Linux: 4.9.11-100.rt9.1.fc24.ccrma.x86_64+rt #1 SMP PREEMPT RT Sat Feb 25 23:30:31 PST 2017 x86_64 x86_64 x86_64 GNU/Linux

Macro Osc 2 - Model CV

This is very probably a feature not a bug.

The model modulation responds only to 0-5v, not 0-10v. Is that intended?

"call to 'abs' is ambiguous" breaks braids

commit 74f1649
Mac OS X El Capitan 10.11.6
Xcode 8.0.0

I get the following error:

In file included from src/Braids.cpp:7:
./eurorack/braids/signature_waveshaper.h:68:39: error: call to 'abs' is ambiguous
          (8192 + (sigmoid_strength * std::abs((int32_t) x) >> 5));
                                      ^~~~~~~~
/Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/../include/c++/v1/cmath:664:1: note: 
      candidate function
abs(float __lcpp_x) _NOEXCEPT {return fabsf(__lcpp_x);}
^
/Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/../include/c++/v1/cmath:668:1: note: 
      candidate function
abs(double __lcpp_x) _NOEXCEPT {return fabs(__lcpp_x);}
^
/Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/../include/c++/v1/cmath:672:1: note: 
      candidate function
abs(long double __lcpp_x) _NOEXCEPT {return fabsl(__lcpp_x);}
^

Braids menu

Add META, TSRC, TDLY, |\ATT, |\DEC, |\FM, |\TIM, |\COL, |\VCA, RANG, OCTV, QNTZ, FLAT, DRFT, SIGN.

All features should be implemented by the right-click context menu, not the edit knob.

Rings: Only exciter is sent to output on Strum signal

On Mac 10.12, latest dev:

Rings only seems to be outputting its internal excitation generator instead of the bandpass bank. Placing a gate signal into the STRUM input only triggers a small impulse instead of the harmonic ringing. This happens in all generation modes. Elements appears to work fine, though.

Make crash

Hello, and first thanks you for your work, it's amazing. I've got a crash after git submodule update --init --recursive and make.

Rack/plugins/AudibleInstruments  master ✗                                                                       3d ◒  
▶ make
g++ -fshort-enums -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_LIN -Wsuggest-override -std=c++11 -c -o build/src/Frames.cpp.o src/Frames.cpp
In file included from src/Frames.cpp:4:0:
./eurorack/frames/poly_lfo.h:78:49: warning: type qualifiers ignored on function return type [-Wignored-qualifiers]
   inline const uint16_t dac_code(uint8_t index) const {
                                                 ^
src/Frames.cpp: In constructor ‘FramesWidget::FramesWidget()’:
src/Frames.cpp:319:11: error: ‘createValueLight’ was not declared in this scope
  addChild(createValueLight<SmallLight<GreenRedPolarityLight>>(Vec(30, 101), &module->outputs[Frames::GAIN1_LIGHT + 0].
           ^
src/Frames.cpp:319:39: error: ‘GreenRedPolarityLight’ was not declared in this scope
  addChild(createValueLight<SmallLight<GreenRedPolarityLight>>(Vec(30, 101), &module->outputs[Frames::GAIN1_LIGHT + 0].
                                       ^
src/Frames.cpp:319:39: error: template argument 1 is invalid
src/Frames.cpp:320:39: error: the value of ‘GreenRedPolarityLight’ is not usable in a constant expression
  addChild(createValueLight<SmallLight<GreenRedPolarityLight>>(Vec(97, 101), &module->outputs[Frames::GAIN1_LIGHT + 1].
                                       ^
src/Frames.cpp:319:39: note: ‘GreenRedPolarityLight’ was not declared ‘constexpr’
  addChild(createValueLight<SmallLight<GreenRedPolarityLight>>(Vec(30, 101), &module->outputs[Frames::GAIN1_LIGHT + 0].
                                       ^
src/Frames.cpp:320:39: error: type/value mismatch at argument 1 in template parameter list for ‘template<class BASE> struct rack::SmallLight’
  addChild(createValueLight<SmallLight<GreenRedPolarityLight>>(Vec(97, 101), &module->outputs[Frames::GAIN1_LIGHT + 1].
                                       ^
src/Frames.cpp:320:39: note:   expected a type, got ‘GreenRedPolarityLight’
src/Frames.cpp:321:39: error: the value of ‘GreenRedPolarityLight’ is not usable in a constant expression
  addChild(createValueLight<SmallLight<GreenRedPolarityLight>>(Vec(165, 101), &module->outputs[Frames::GAIN1_LIGHT + 2]
                                       ^
src/Frames.cpp:319:39: note: ‘GreenRedPolarityLight’ was not declared ‘constexpr’
  addChild(createValueLight<SmallLight<GreenRedPolarityLight>>(Vec(30, 101), &module->outputs[Frames::GAIN1_LIGHT + 0].
                                       ^
src/Frames.cpp:321:39: error: type/value mismatch at argument 1 in template parameter list for ‘template<class BASE> struct rack::SmallLight’
  addChild(createValueLight<SmallLight<GreenRedPolarityLight>>(Vec(165, 101), &module->outputs[Frames::GAIN1_LIGHT + 2]
                                       ^
src/Frames.cpp:321:39: note:   expected a type, got ‘GreenRedPolarityLight’
src/Frames.cpp:322:39: error: the value of ‘GreenRedPolarityLight’ is not usable in a constant expression
  addChild(createValueLight<SmallLight<GreenRedPolarityLight>>(Vec(232, 101), &module->outputs[Frames::GAIN1_LIGHT + 3]
                                       ^
src/Frames.cpp:319:39: note: ‘GreenRedPolarityLight’ was not declared ‘constexpr’
  addChild(createValueLight<SmallLight<GreenRedPolarityLight>>(Vec(30, 101), &module->outputs[Frames::GAIN1_LIGHT + 0].
                                       ^
src/Frames.cpp:322:39: error: type/value mismatch at argument 1 in template parameter list for ‘template<class BASE> struct rack::SmallLight’
  addChild(createValueLight<SmallLight<GreenRedPolarityLight>>(Vec(232, 101), &module->outputs[Frames::GAIN1_LIGHT + 3]
                                       ^
src/Frames.cpp:322:39: note:   expected a type, got ‘GreenRedPolarityLight’
src/Frames.cpp:323:40: error: the value of ‘GreenRedPolarityLight’ is not usable in a constant expression
  addChild(createValueLight<MediumLight<GreenRedPolarityLight>>(Vec(61, 155), &module->outputs[Frames::EDIT_LIGHT].valu
                                        ^
src/Frames.cpp:319:39: note: ‘GreenRedPolarityLight’ was not declared ‘constexpr’
  addChild(createValueLight<SmallLight<GreenRedPolarityLight>>(Vec(30, 101), &module->outputs[Frames::GAIN1_LIGHT + 0].
                                       ^
src/Frames.cpp:323:40: error: type/value mismatch at argument 1 in template parameter list for ‘template<class BASE> struct rack::MediumLight’
  addChild(createValueLight<MediumLight<GreenRedPolarityLight>>(Vec(61, 155), &module->outputs[Frames::EDIT_LIGHT].valu
                                        ^
src/Frames.cpp:323:40: note:   expected a type, got ‘GreenRedPolarityLight’
../../compile.mk:53 : la recette pour la cible « build/src/Frames.cpp.o » a échouée
make: *** [build/src/Frames.cpp.o] Erreur 1
x                                                                                                             3d ◒  ⍉⍉

I'm not already a C++ dev and i'm not in the project but i can immagine that the GreenRedPolarityLight constant is not defined in the variable scope. Somebody can help ?

Move CKSSRot to Rack?

Right now, there's a rotated version of CKSS called CKSSRot that is constructed specifically for Frames. This sideways switch can be useful for other designs.

Would you accept a pull request if I move the CKSSRot art and definition to the main Rack repo?

0.6.0dev: cannot compile (master branch, updated today)

Hi, initially (after update this day), can compile but having "ghost" modules.

Restarted from scratch for AudibleInstruments plugin.
Now having compile error. Windows 7, Rack 0.6.0dev

DoM@HAL-9000 MINGW64 /C/Rack060/Rack
$ cd plugins

DoM@HAL-9000 MINGW64 /C/Rack060/Rack/plugins
$ git clone https://github.com/VCVRack/AudibleInstruments.git

Clonage dans 'AudibleInstruments'...
remote: Counting objects: 686, done.
remote: Compressing objects: 100% (27/27), done.
remote: Total 686 (delta 36), reused 43 (delta 34), pack-reused 625
Réception d'objets: 100% (686/686), 870.94 KiB | 675.00 KiB/s, fait.
Résolution des deltas: 100% (496/496), fait.```

DoM@HAL-9000 MINGW64 /C/Rack060/Rack/plugins

$ cd AudibleInstruments/
DoM@HAL-9000 MINGW64 /C/Rack060/Rack/plugins/AudibleInstruments
$ git checkout master
Déjà sur 'master' --> translation already on 'master'
Votre branche est à jour avec 'origin/master'. --> Brach is upto date.

DoM@HAL-9000 MINGW64 /C/Rack060/Rack/plugins/AudibleInstruments
$ git submodule update --init --recursive

 » : identifiant non valablene 88 : export: « dashless
Sous-module 'eurorack' (https://github.com/AndrewBelt/eurorack.git) enregistré pour le chemin 'eurorack'
Clonage dans '/C/Rack060/Rack/plugins/AudibleInstruments/eurorack'...
 » : identifiant non valablene 88 : export: « sha1
 » : identifiant non valablene 88 : export: « displaypath
Chemin de sous-module '' : '916d9620b538e004c8d1480ce378152805979eba' extrait
Sous-module 'avr_audio_bootloader' (https://github.com/pichenettes/avr-audio-bootloader.git) enregistré pour le chemin 'eurorack/avr_audio_bootloader'
Sous-module 'avrlib' (https://github.com/pichenettes/avril.git) enregistré pour le chemin 'eurorack/avrlib'
Sous-module 'avrlibx' (https://github.com/pichenettes/avrilx.git) enregistré pour le chemin 'eurorack/avrlibx'
Sous-module 'stm_audio_bootloader' (https://github.com/pichenettes/stm-audio-bootloader.git) enregistré pour le chemin 'eurorack/stm_audio_bootloader'
Sous-module 'stmlib' (https://github.com/pichenettes/stmlib.git) enregistré pour le chemin 'eurorack/stmlib'
Clonage dans '/C/Rack060/Rack/plugins/AudibleInstruments/eurorack/avr_audio_bootloader'...
Clonage dans '/C/Rack060/Rack/plugins/AudibleInstruments/eurorack/avrlib'...
Clonage dans '/C/Rack060/Rack/plugins/AudibleInstruments/eurorack/avrlibx'...
Clonage dans '/C/Rack060/Rack/plugins/AudibleInstruments/eurorack/stm_audio_bootloader'...
Clonage dans '/C/Rack060/Rack/plugins/AudibleInstruments/eurorack/stmlib'...
 » : identifiant non valablene 88 : export: « sha1
 » : identifiant non valablene 88 : export: « displaypath
Chemin de sous-module '' : '4f12c403e5101319aa25f3198ef9f63045cfb484' extrait
 » : identifiant non valablene 88 : export: « sha1
 » : identifiant non valablene 88 : export: « displaypath
Chemin de sous-module '' : '36b988a851f8638adb9f53ff98939cb08ffce8cc' extrait
 » : identifiant non valablene 88 : export: « sha1
 » : identifiant non valablene 88 : export: « displaypath
Chemin de sous-module '' : '5c28367e91dfb036593e876c886241cf81f60a2d' extrait
 » : identifiant non valablene 88 : export: « sha1
 » : identifiant non valablene 88 : export: « displaypath
Chemin de sous-module '' : '6f20ead22a28967b0446546d2fcf0dfb9599ba93' extrait
 » : identifiant non valablene 88 : export: « sha1
 » : identifiant non valablene 88 : export: « displaypath
Chemin de sous-module '' : 'fcef586f0799a32763e7e06ecac5264c99b3f1a4' extrait

DoM@HAL-9000 MINGW64 /C/Rack060/Rack/plugins/AudibleInstruments
$ make

g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/src/Clouds.cpp.o src/Clouds.cpp
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/src/Kinks.cpp.o src/Kinks.cpp
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/src/AudibleInstruments.cpp.o src/AudibleInstruments.cpp
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/src/Links.cpp.o src/Links.cpp
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/src/Blinds.cpp.o src/Blinds.cpp
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/src/Branches.cpp.o src/Branches.cpp
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/src/Shades.cpp.o src/Shades.cpp
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/src/Tides.cpp.o src/Tides.cpp
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/src/Veils.cpp.o src/Veils.cpp
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/src/Braids.cpp.o src/Braids.cpp
In file included from ./eurorack/braids/macro_oscillator.h:39:0,
                 from src/Braids.cpp:5:
./eurorack/braids/settings.h:354:10: warning: type qualifiers ignored on function return type [-Wignored-qualifiers]
   static const Setting setting_at_index(int16_t index) {
          ^~~~~
./eurorack/braids/settings.h: In member function 'int32_t braids::Settings::pitch_transposition() const':
./eurorack/braids/settings.h:326:63: warning: left shift of negative value [-Wshift-negative-value]
     int32_t t = data_.pitch_range == PITCH_RANGE_LFO ? -36 << 7 : 0;
                                                               ^
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/src/Elements.cpp.o src/Elements.cpp
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/src/Warps.cpp.o src/Warps.cpp
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/src/Rings.cpp.o src/Rings.cpp
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/src/Frames.cpp.o src/Frames.cpp
In file included from src/Frames.cpp:4:0:
./eurorack/frames/poly_lfo.h:78:10: warning: type qualifiers ignored on function return type [-Wignored-qualifiers]
   inline const uint16_t dac_code(uint8_t index) const {
          ^~~~~
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/stmlib/utils/random.cc.o eurorack/stmlib/utils/random.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/stmlib/dsp/atan.cc.o eurorack/stmlib/dsp/atan.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/stmlib/dsp/units.cc.o eurorack/stmlib/dsp/units.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/braids/macro_oscillator.cc.o eurorack/braids/macro_oscillator.cc
In file included from ./eurorack/braids/macro_oscillator.h:39:0,
                 from eurorack/braids/macro_oscillator.cc:29:
./eurorack/braids/settings.h:354:10: warning: type qualifiers ignored on function return type [-Wignored-qualifiers]
   static const Setting setting_at_index(int16_t index) {
          ^~~~~
./eurorack/braids/settings.h: In member function 'int32_t braids::Settings::pitch_transposition() const':
./eurorack/braids/settings.h:326:63: warning: left shift of negative value [-Wshift-negative-value]
     int32_t t = data_.pitch_range == PITCH_RANGE_LFO ? -36 << 7 : 0;
                                                               ^
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/braids/analog_oscillator.cc.o eurorack/braids/analog_oscillator.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/braids/digital_oscillator.cc.o eurorack/braids/digital_oscillator.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/braids/quantizer.cc.o eurorack/braids/quantizer.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/braids/resources.cc.o eurorack/braids/resources.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/clouds/dsp/correlator.cc.o eurorack/clouds/dsp/correlator.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/clouds/dsp/granular_processor.cc.o eurorack/clouds/dsp/granular_processor.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/clouds/dsp/mu_law.cc.o eurorack/clouds/dsp/mu_law.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/clouds/dsp/pvoc/frame_transformation.cc.o eurorack/clouds/dsp/pvoc/frame_transformation.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/clouds/dsp/pvoc/phase_vocoder.cc.o eurorack/clouds/dsp/pvoc/phase_vocoder.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/clouds/dsp/pvoc/stft.cc.o eurorack/clouds/dsp/pvoc/stft.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/clouds/resources.cc.o eurorack/clouds/resources.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/elements/dsp/exciter.cc.o eurorack/elements/dsp/exciter.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/elements/dsp/ominous_voice.cc.o eurorack/elements/dsp/ominous_voice.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/elements/dsp/resonator.cc.o eurorack/elements/dsp/resonator.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/elements/dsp/tube.cc.o eurorack/elements/dsp/tube.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/elements/dsp/multistage_envelope.cc.o eurorack/elements/dsp/multistage_envelope.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/elements/dsp/part.cc.o eurorack/elements/dsp/part.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/elements/dsp/string.cc.o eurorack/elements/dsp/string.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/elements/dsp/voice.cc.o eurorack/elements/dsp/voice.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/elements/resources.cc.o eurorack/elements/resources.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/rings/dsp/fm_voice.cc.o eurorack/rings/dsp/fm_voice.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/rings/dsp/part.cc.o eurorack/rings/dsp/part.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/rings/dsp/string_synth_part.cc.o eurorack/rings/dsp/string_synth_part.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/rings/dsp/string.cc.o eurorack/rings/dsp/string.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/rings/dsp/resonator.cc.o eurorack/rings/dsp/resonator.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/rings/resources.cc.o eurorack/rings/resources.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/tides/generator.cc.o eurorack/tides/generator.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/tides/resources.cc.o eurorack/tides/resources.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/warps/dsp/modulator.cc.o eurorack/warps/dsp/modulator.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/warps/dsp/oscillator.cc.o eurorack/warps/dsp/oscillator.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/warps/dsp/vocoder.cc.o eurorack/warps/dsp/vocoder.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/warps/dsp/filter_bank.cc.o eurorack/warps/dsp/filter_bank.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/warps/resources.cc.o eurorack/warps/resources.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/frames/keyframer.cc.o eurorack/frames/keyframer.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/frames/resources.cc.o eurorack/frames/resources.cc
g++ -Wsuggest-override -std=c++11 -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -DSLUG=AudibleInstruments -DVERSION=0.6.0dev -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -c -o build/eurorack/frames/poly_lfo.cc.o eurorack/frames/poly_lfo.cc
In file included from eurorack/frames/poly_lfo.cc:29:0:
./eurorack/frames/poly_lfo.h:78:10: warning: type qualifiers ignored on function return type [-Wignored-qualifiers]
   inline const uint16_t dac_code(uint8_t index) const {
          ^~~~~
g++ -o plugin.dll build/src/Clouds.cpp.o build/src/Kinks.cpp.o build/src/AudibleInstruments.cpp.o build/src/Links.cpp.o build/src/Blinds.cpp.o build/src/Branches.cpp.o build/src/Shades.cpp.o build/src/Tides.cpp.o build/src/Veils.cpp.o build/src/Braids.cpp.o build/src/Elements.cpp.o build/src/Warps.cpp.o build/src/Rings.cpp.o build/src/Frames.cpp.o build/eurorack/stmlib/utils/random.cc.o build/eurorack/stmlib/dsp/atan.cc.o build/eurorack/stmlib/dsp/units.cc.o build/eurorack/braids/macro_oscillator.cc.o build/eurorack/braids/analog_oscillator.cc.o build/eurorack/braids/digital_oscillator.cc.o build/eurorack/braids/quantizer.cc.o build/eurorack/braids/resources.cc.o build/eurorack/clouds/dsp/correlator.cc.o build/eurorack/clouds/dsp/granular_processor.cc.o build/eurorack/clouds/dsp/mu_law.cc.o build/eurorack/clouds/dsp/pvoc/frame_transformation.cc.o build/eurorack/clouds/dsp/pvoc/phase_vocoder.cc.o build/eurorack/clouds/dsp/pvoc/stft.cc.o build/eurorack/clouds/resources.cc.o build/eurorack/elements/dsp/exciter.cc.o build/eurorack/elements/dsp/ominous_voice.cc.o build/eurorack/elements/dsp/resonator.cc.o build/eurorack/elements/dsp/tube.cc.o build/eurorack/elements/dsp/multistage_envelope.cc.o build/eurorack/elements/dsp/part.cc.o build/eurorack/elements/dsp/string.cc.o build/eurorack/elements/dsp/voice.cc.o build/eurorack/elements/resources.cc.o build/eurorack/rings/dsp/fm_voice.cc.o build/eurorack/rings/dsp/part.cc.o build/eurorack/rings/dsp/string_synth_part.cc.o build/eurorack/rings/dsp/string.cc.o build/eurorack/rings/dsp/resonator.cc.o build/eurorack/rings/resources.cc.o build/eurorack/tides/generator.cc.o build/eurorack/tides/resources.cc.o build/eurorack/warps/dsp/modulator.cc.o build/eurorack/warps/dsp/oscillator.cc.o build/eurorack/warps/dsp/vocoder.cc.o build/eurorack/warps/dsp/filter_bank.cc.o build/eurorack/warps/resources.cc.o build/eurorack/frames/keyframer.cc.o build/eurorack/frames/resources.cc.o build/eurorack/frames/poly_lfo.cc.o -shared -L../.. -lRack
build/src/Clouds.cpp.o: In function `rack::Rogan1PSRed* rack::Widget::create<rack::Rogan1PSRed>(rack::Vec)':
C:\Rack060\Rack\plugins\AudibleInstruments/../../include/componentlibrary.hpp:242: undefined reference to `rack::Knob::Knob()'
build/src/Clouds.cpp.o: In function `rack::Rogan3PSRed* rack::Widget::create<rack::Rogan3PSRed>(rack::Vec)':
C:\Rack060\Rack\plugins\AudibleInstruments/../../include/componentlibrary.hpp:140: undefined reference to `rack::Knob::Knob()'
build/src/Clouds.cpp.o: In function `rack::Rogan3PSGreen* rack::Widget::create<rack::Rogan3PSGreen>(rack::Vec)':
C:\Rack060\Rack\plugins\AudibleInstruments/../../include/componentlibrary.hpp:146: undefined reference to `rack::Knob::Knob()'
build/src/Clouds.cpp.o: In function `rack::Rogan3PSWhite* rack::Widget::create<rack::Rogan3PSWhite>(rack::Vec)':
C:\Rack060\Rack\plugins\AudibleInstruments/../../include/componentlibrary.hpp:152: undefined reference to `rack::Knob::Knob()'
build/src/Clouds.cpp.o: In function `rack::Rogan1PSGreen* rack::Widget::create<rack::Rogan1PSGreen>(rack::Vec)':
C:\Rack060\Rack\plugins\AudibleInstruments/../../include/componentlibrary.hpp:248: undefined reference to `rack::Knob::Knob()'
build/src/Clouds.cpp.o:C:\Rack060\Rack\plugins\AudibleInstruments/../../include/componentlibrary.hpp:254: more undefined references to `rack::Knob::Knob()' follow
collect2.exe: error: ld returned 1 exit status
make: *** [../../compile.mk:46: plugin.dll] Error 1

DoM@HAL-9000 MINGW64 /C/Rack060/Rack/plugins/AudibleInstruments
$

Adding "Texture synthesizer" makes application crashes.

Hi,

I'm using updated revision (a17ae2c) of VCVRack and Audible Instruments plugins (715f977).
Every time that I try to add "Texture synthesizer" the application crashes.
It happens only whit this module, others modules work perfectly.

Thank you.

Tested on:
OS: Arch Linux 4.13.12-1-ARCH #1 SMP PREEMPT Wed Nov 8 11:54:06 CET 2017 x86_64 GNU/Linux
OpenGL: 4.6.0 NVIDIA 387.34

and

OS: macOS 10.12.6

715f977 not compiling Windows 7

Makefile:55: warning: overriding recipe for target 'dist'
../../plugin.mk:36: warning: ignoring old recipe for target 'dist'
g++ -DTEST -I./eurorack -Wno-unused-local-typedefs -fPIC -I../../include -I../../dep/include -MMD -g -O3 -march=nocona -ffast-math -fno-finite-math-only -Wall -Wextra -Wno-unused-parameter -DARCH_WIN -D_USE_MATH_DEFINES -Wsuggest-override -std=c++11 -c -o build/src/Clouds.cpp.o src/Clouds.cpp
src/Clouds.cpp:7:10: fatal error: clouds/dsp/granular_processor.h: No such file or directory
 #include "clouds/dsp/granular_processor.h"
          ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
compilation terminated.
make: *** [../../compile.mk:54: build/src/Clouds.cpp.o] Error 1

Crash while file opening

essai1.zip
Hi,

Rack crashes when it loads the attached file.
I saved it and when I restarted Rack it loaded it at startup and crashed.

Windows 7/64.

Tides Clocked/PLL mode

In the Tides manual, it mentions that there is a Clocked/PLL mode accessible by pressing the Range switch for one second. This doesn't seem to be working in the VCV Rack version, nor is there an option to access this mode via right-clicking the module. Would it be possible to implement a way to access this mode?

Keyframer 'fr. step' output not producing triggers

Hello,

I was trying to use the trigger from the 'fr. step' output to clock a sequencer, but got nothing from the output. This is both by feeding the frame input with a signal, and turning the knob manually. Tried looking at it on the scope and it registers no signal at all.

Using v0.5.1 running at 44100khz, block size 256, on Macbook Pro 2012, OSX 10.9.5.

Quad MIDI to CV: Segmentation Fault

Ubuntu 16.04
Latest dev buid

Using Quad MIDI to CV to 2 Unity Modules to 2 Multiples to Resonator to Audio Interface
Rack segmentation faults using "make debug"

LD_LIBRARY_PATH=dep/lib gdb -ex run ./Rack
GNU gdb (Ubuntu 7.11.1-0ubuntu1~16.5) 7.11.1
Copyright (C) 2016 Free Software Foundation, Inc.
License GPLv3+: GNU GPL version 3 or later http://gnu.org/licenses/gpl.html
This is free software: you are free to change and redistribute it.
There is NO WARRANTY, to the extent permitted by law. Type "show copying"
and "show warranty" for details.
This GDB was configured as "x86_64-linux-gnu".
Type "show configuration" for configuration details.
For bug reporting instructions, please see:
http://www.gnu.org/software/gdb/bugs/.
Find the GDB manual and other documentation resources online at:
http://www.gnu.org/software/gdb/documentation/.
For help, type "help".
Type "apropos word" to search for commands related to "word"...
Reading symbols from ./Rack...done.
Starting program: /home/justin/Rack3/Rack/Rack
[Thread debugging using libthread_db enabled]
Using host libthread_db library "/lib/x86_64-linux-gnu/libthread_db.so.1".
[info] Current working directory: /home/justin/Rack3/Rack
[info] Global directory: ./
[info] Local directory: ./
[info] Loading plugins from ./plugins
[info] Loaded plugin ./plugins/mscHack/plugin.so
[info] Loaded plugin ./plugins/AmalgamatedHarmonics/plugin.so
[info] Loaded plugin ./plugins/Autodafe/plugin.so
[info] Loaded plugin ./plugins/Fundamental/plugin.so
[info] Loaded plugin ./plugins/MentalModules/plugin.so
[info] Loaded plugin ./plugins/LuckyXXL/plugin.so
[info] Loaded plugin ./plugins/cf/plugin.so
[info] Loaded plugin ./plugins/ML_modules/plugin.so
[info] Loaded plugin ./plugins/LOGinstruments/plugin.so
[info] Loaded plugin ./plugins/BogaudioModules/plugin.so
[info] Loaded plugin ./plugins/NauModular/plugin.so
[info] Loaded plugin ./plugins/ESeries/plugin.so
[info] Loaded plugin ./plugins/LindenbergResearch/plugin.so
[info] Loaded plugin ./plugins/QWelk/plugin.so
[info] Loaded plugin ./plugins/HetrickCV/plugin.so
[info] Loaded plugin ./plugins/JERingMod/plugin.so
[info] Loaded plugin ./plugins/sonusmodular/plugin.so
[info] Loaded plugin ./plugins/DekStop/plugin.so
[info] Loaded plugin ./plugins/Befaco/plugin.so
[info] Loaded plugin ./plugins/JW-Modules/plugin.so
[info] Loaded plugin ./plugins/TreasureFreeLinux/plugin.so
[info] Loaded plugin ./plugins/Grayscale/plugin.so
[info] Loaded plugin ./plugins/Autodafe-Drums/plugin.so
[info] Loaded plugin ./plugins/Simple/plugin.so
[info] Loaded plugin ./plugins/Bidoo/plugin.so
[info] Loaded plugin ./plugins/AudibleInstruments/plugin.so
[info] Loaded plugin ./plugins/KarateSnoopy/plugin.so
[info] Loaded plugin ./plugins/VultModules/plugin.so
[info] Loaded plugin ./plugins/Gratrix/plugin.so
[info] Loaded font ./res/DejaVuSans.ttf
[info] Loading patch ./autosave.vcv
[New Thread 0x7fffe6519700 (LWP 17445)]
[New Thread 0x7fffe6498700 (LWP 17446)]
[Thread 0x7fffe6498700 (LWP 17446) exited]
[Thread 0x7fffe6519700 (LWP 17445) exited]
[info] Loaded SVG ./res/ComponentLibrary/PJ3410.svg
[New Thread 0x7fffe6519700 (LWP 17447)]
[New Thread 0x7fffe6498700 (LWP 17448)]
[Thread 0x7fffe6498700 (LWP 17448) exited]
[Thread 0x7fffe6519700 (LWP 17447) exited]
[debug] Opening audio stream 2
[New Thread 0x7fffe6519700 (LWP 17449)]
[New Thread 0x7fffe6498700 (LWP 17450)]
[Thread 0x7fffe6498700 (LWP 17450) exited]
[Thread 0x7fffe6519700 (LWP 17449) exited]
[New Thread 0x7fffe6519700 (LWP 17451)]
[New Thread 0x7fffe6498700 (LWP 17452)]
[debug] Starting audio stream 2
[New Thread 0x7fffe6405700 (LWP 17453)]
[info] Loaded SVG ./plugins/MentalModules/res/MentalSubMixer.svg
[info] Loaded SVG ./res/ComponentLibrary/SynthTechAlco.svg
[info] Loaded SVG ./res/ComponentLibrary/SynthTechAlco_cap.svg
[info] Loaded SVG ./res/ComponentLibrary/PJ301M.svg
[info] Loaded SVG ./res/ComponentLibrary/RoundBlack.svg
[info] Loaded SVG ./plugins/MentalModules/res/MentalMasterClock.svg
[info] Loaded SVG ./res/ComponentLibrary/LEDButton.svg
[info] Loaded font ./plugins/MentalModules/res/Segment7Standard.ttf
[info] Loaded SVG ./plugins/NauModular/res/Perlin.svg
[info] Loaded SVG ./res/ComponentLibrary/ScrewSilver.svg
[info] Loaded SVG ./res/ComponentLibrary/Davies1900hBlack.svg
[info] Loaded SVG ./plugins/Grayscale/res/Algorhythm.svg
[info] Loaded SVG ./res/ComponentLibrary/PB61303.svg
[info] Loaded image ./plugins/AudibleInstruments/res/Clouds.png
[info] Loaded SVG ./res/ComponentLibrary/Rogan3PSRed.svg
[info] Loaded SVG ./res/ComponentLibrary/Rogan3PSGreen.svg
[info] Loaded SVG ./res/ComponentLibrary/Rogan3PSWhite.svg
[info] Loaded SVG ./res/ComponentLibrary/Rogan1PSRed.svg
[info] Loaded SVG ./res/ComponentLibrary/Rogan1PSGreen.svg
[info] Loaded SVG ./res/ComponentLibrary/Rogan1PSWhite.svg
[info] Loaded SVG ./res/ComponentLibrary/Rogan1PSBlue.svg
[info] Loaded SVG ./res/ComponentLibrary/CKD6_0.svg
[info] Loaded SVG ./res/ComponentLibrary/CKD6_1.svg
[info] Loaded SVG ./res/ComponentLibrary/TL1105_0.svg
[info] Loaded SVG ./res/ComponentLibrary/TL1105_1.svg
[info] Loaded image ./plugins/AudibleInstruments/res/Braids.png
[info] Loaded font ./plugins/AudibleInstruments/res/hdad-segment14-1.002/Segment14.ttf
[info] Loaded SVG ./res/ComponentLibrary/Rogan2SGray.svg
[info] Loaded SVG ./res/ComponentLibrary/Rogan2PSWhite.svg
[info] Loaded SVG ./res/ComponentLibrary/Rogan2PSGreen.svg
[info] Loaded SVG ./res/ComponentLibrary/Rogan2PSRed.svg
[New Thread 0x7fffe4890700 (LWP 17455)]
[info] Loaded SVG ./plugins/Fundamental/res/Unity.svg
[info] Loaded SVG ./res/ComponentLibrary/CKSS_0.svg
[info] Loaded SVG ./res/ComponentLibrary/CKSS_1.svg
[info] Loaded image ./plugins/AudibleInstruments/res/Links.png
[info] Loaded image ./plugins/AudibleInstruments/res/Rings.png
[info] Loaded SVG ./res/ComponentLibrary/Trimpot.svg
[info] Loading settings ./settings.json
[New Thread 0x7fffd99a0700 (LWP 17456)]
[New Thread 0x7fffe408f700 (LWP 17457)]
[Thread 0x7fffe408f700 (LWP 17457) exited]

Thread 12 "Rack" received signal SIGSEGV, Segmentation fault.
[Switching to Thread 0x7fffd99a0700 (LWP 17456)]
0x00007fffe9d457b6 in rings::Part::RenderModalVoice (this=0x1068490, voice=0, performance_state=..., patch=..., frequency=-4.81642311e+34,
filter_cutoff=-1.92656924e+35, size=24) at eurorack/rings/dsp/part.cc:311
311 filter_cutoff * filter_cutoff * 24.0f) / filter_cutoff;

Macro Osc 2 - LPG Edit Mode issue/Feature request

When switching to LPG Edit mode and editing the LPG setting... if you then return to normal mode... the sound you were editing the LPG for, isnt restored but changes to the now current position of the knobs that were moved to edit the LPG. Is there a way this can be prevented otherwise editing the LPG must be the very last thing you do to prevent this and once editing the LPG is done, you cannot go back to normal mode without affecting the sound/waveform position etc?

Rings and Tides context menu transparent and off to the left

Rings and Tides context menu transparent and off to the left. All other AudibleInstruments modules are working as expected.

This has been reproduced on Windows and Linux platforms with the latest Rack (53fdea1cd15415f60a3c1dc8d8e4340bd06e65e6) and latest AudibleInstruments.

image

image

Build on macOS 10.12.6

Things I did to get this repo to build

git submodule update --init
cd eurorack
git submodule update --init
cd ..
make

Soooo many submodules :)

Braids: output offset by 0.16V

while checking if my meter module works ok, i noticed a little odd thing in braids.

i added braids to a blank rack and switched to drum mode, plugged the output into the meter, saw the 0.16V.
then i switched on and off all the modes in the menu (low cpu, drift, etc.).
when i switched sign on and off, the 0.16V were gone.
repeated a few times to be sure.
see screenshot:
braids_output_offset

description:
left side: braids added to blank rack, switched to drum.
right side: braids added to blank rack, switched to drum, sign switched on and off again.

Braids Model doesn't change

On Mac 10.12.6, latest dev:

Turning the main encoder will update the display with a new model name, but the audio output stays as the CSAW model.

Elements menu item

Please add a toggle for set_easter_egg() / ominous voice. It is sadly missing from the context menu options. I just took it for a spin and it's pretty fun.

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.