Coder Social home page Coder Social logo

project_mips's Introduction

project_mips

Mips VM simulating basic operation of memory with binary feedback

Overview

This is an operational demonstration of a simple mips processor and memory cache operation. This while in it's simplest form is intnded to help show how the mips processor can convert instructions into binary form and pass them through various components. The purpose of this software is intended to help provide hands on experience with the mips system and to allow students to write individual lines of mips instruction assembly code and watch in real time as the simulated memory cache is updated.

Installation

This repository can be compiled on any system that runs a Rust compiler. Operation, inspection and modification of the code has been setup to be as easy as possible. Installation of the Rust language and compiler can be found here

  • Download the source code
  • Unzip project_mips in the location you would like to run it from
  • Open up a terminal
  • Use the cd command to change the terminal directory to the location of the cargo.toml file in project_mips
  • enter cargo run

Operation

Only a limited number of commands are currently supported and can only be entered individually through the command line.

  • Add (Adds the contents of 2 selected registers and store the result in a third)
  • Sub (Uses 2's compliment to subtract the contents of 2 selected registers and store the result in a third)
  • And (Binary and the contents of two registers and store the result in a third)
  • Or (Binary or the contents of two registers and store the result in a third)
  • Addi (Add the contents of a register to a 16 bit integer and store it in a selected register)

Each of these instructions will create a binary instruction and pass it through simulated components to edit the necessary register. To select a register simply use the register code format of "R#". Register support includes from R0 to R31.

Issues

Errors and issues can be reported on github

Future additions

  • [] Create Outside Memory support
  • [] Add LW SW support
  • [] Complete Mips Instruction Operation
  • [] Add instruction file support
  • [] Upload to Rust Cargo
  • [] Add GUI

project_mips's People

Contributors

z-walt-734 avatar

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.