Coder Social home page Coder Social logo

rainingud / uvm-tutorial-for-candy-lovers Goto Github PK

View Code? Open in Web Editor NEW

This project forked from cluelogic/uvm-tutorial-for-candy-lovers

0.0 1.0 0.0 57 KB

Source code repo for UVM Tutorial for Candy Lovers

Makefile 0.98% Batchfile 0.01% SystemVerilog 98.82% C++ 0.20%

uvm-tutorial-for-candy-lovers's Introduction

Source Code for UVM Tutorial for Candy Lovers

This is a source code repo for UVM Tutorial for Candy Lovers.

List of source code

Tutorial File
1, 2, 3, 4, 5, and 6 src/tutorial_1_to_6.sv
7 and 8 src/tutorial_7_and_8.sv
9 src/tutorial_9.sv
15 src/tutorial_15.sv
21 src/tutorial_21.sv
23 src/tutorial_23/*.sv
24 src/tutorial_24/*.sv
25 src/tutorial_25/*.sv
26 src/tutorial_26/*.sv
27 src/tutorial_27/*.sv
28 src/tutorial_28/*.sv
29 src/tutorial_29/*.sv
32 src/tutorial_32/*.sv
33 src/tutorial_33/*.sv

There is no source code associated with Tutorials #10, 11, 12, 13, 14, 16, 17, 18, 19, 20, 22, 30 or 31.

How to install

Using Git

  1. git clone https://github.com/cluelogic/uvm-tutorial-for-candy-lovers
  2. Go to the run directory: cd uvm-tutorial-for-candy-lovers/run
  3. Check make options: make help
  4. Run a simulation using the options listed in the previous step.

Traditional way

  1. Click the Download ZIP button on the right.
  2. Unzip the source code: unzip uvm-tutorial-for-candy-lovers-master.zip
  3. Go to the run directory: cd uvm-tutorial-for-candy-lovers-master/run
  4. Check make options: make help
  5. Run a simulation using the options listed in the previous step.

uvm-tutorial-for-candy-lovers's People

Contributors

cluelogic avatar

Watchers

James Cloos avatar

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.