Coder Social home page Coder Social logo

neogeodev / neogeofpga-sim Goto Github PK

View Code? Open in Web Editor NEW
90.0 90.0 12.0 12.6 MB

Simulation only cartridge NeoGeo hardware definition

License: GNU General Public License v3.0

Verilog 74.85% C 1.92% VHDL 21.85% Batchfile 0.01% VBA 1.37%
arcade chip fpga logic mvs neo-geo neogeo simulation snk verilog

neogeofpga-sim's People

Contributors

furrtek avatar

Stargazers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

Watchers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

neogeofpga-sim's Issues

How to generate the ROM files?

Hello.
I've been trying to get the design to compile with Quartus.
I generated the ROM files for
rom_sp-u2_fast.txt (from what MAME refers to as sp-u2.sp1) using word-wise encoding (I know it's sp-s2 originally, but I only have the US version).
and
rom_l0.txt (from what MAME refers to as 000-lo.lo) using byte-wise encoding.
But the sizes of the files are double or half of what the design expects.
So I guess I'm doing something wrong there?

Warning (10850): Verilog HDL warning at rom_sp.v(15): number of words (65536) in memory file does not match the number of elements in the address range [0:131071]

and at the same time the l0 ROM is too big.

Warning (10850): Verilog HDL warning at rom_l0.v(15): number of words (131072) in memory file does not match the number of elements in the address range [0:65535]

EDIT: Both are 128KB big, and rom_sp expects 16-bit big values while l0 expects 8-bit, so it is strange that according to the expected address range they're both swapped.

sdram running too slow

can we have option's to run sdram at different speeds mine runs upto 167mhz might have less glitching then

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.