Coder Social home page Coder Social logo

idlab-scrod's People

idlab-scrod's Issues

DAC values do not update properly if chipscope "update all" is used

This occurs when the board is powered and programmed and chipscope is opened, 
or when the "update all" button of chipscope is used to set all DAC values.

The state machine appears to acknowledge and the "current" DAC values all 
update appropriately, but probing on the board indicates not all values were 
truly accepted.

Original issue reported on code.google.com by [email protected] on 12 Jul 2011 at 10:06

modules double-trigger and/or miss fiber commands altogether

Modules seem to double trigger and/or miss fiber commands altogether.  (A 
front-end veto has been added, but causes the DAQ to sometimes stall when in 
software trigger mode.  This implies to me that commands are definitely being 
dropped/missed.)

=possible aid in debugging:=
Have event header or footer report back the number of unrecognized / improperly 
formatted commands.

Original issue reported on code.google.com by mzandrew on 12 Nov 2011 at 10:31

Instability in length of WR_ADDR(9) [the enable for the addressing logic]

During normal running, the write address logic decoding enable, denoted 
WR_ADDR(9), should be high for 4 cycles of SST, corresponding to 8 total 
windows written.

It seems to be unstable, sometimes giving one extra cycle of enable.  This may 
or may not be related to the stale data seen in the first window.

Original issue reported on code.google.com by [email protected] on 12 Jul 2011 at 10:03

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.