Coder Social home page Coder Social logo

cordic's Introduction

This repository is designed to hold a variety of demonstration sinewave generators. These sinewave generators have been discussed and used as examples as part of the ZipCPU Blog on zipcpu.com. If you watch carefully, you may find examples here before they are posted, as I'm going to be doing my development here.

The basic approach in this project is that of a software core generator, found in the sw directory. This generator can be used to make logic in the rtl directory.

The reference that I have used to build the CORDIC algorithms within this repository comes from a Cordic Survey, by Ray Andraka.

Blog posts

There have been several blog posts based upon the code within this repository. These include:

  1. No PI for you!, a discussion of the ideal units of phase within an FPGA.

  2. How to build the simplest sine-wave in Verilog, based upon a table-based generator

  3. How to do the same thing, but with only a Quarter-Wave sine table.

  4. How to use a CORDIC to generate both sines and cosines. Ultimately this is about how to convert from polar to rectangular coordinates as well.

  5. How to use a CORDIC to calculate an arctan. This is also known as the rectangular to polar conversion mode of the CORDIC.

  6. A CORDIC testbench for the sine/cosine generation capability

Another post on the ZipCPU blog discussed how a CORDIC can be used to evaluate an improved(?) PWM signal.

If time permits, I have another sine wave generator that uses fewer logic resources than the CORDIC above, but that requires two multiplies and three RAM's--yet doesn't suffer from the phase truncation effects of the CORDIC. I look forward to having the opportunity to post this in the future.

License

All of the software (Makefile, C++) code in this repository is released under the GPLv3. The Verilog RTL code generated by this core generator is licensed under LGPLv3. If these conditions are not sufficient for your needs, other licenses terms may be purchased.

cordic's People

Contributors

zipcpu avatar

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.